summaryrefslogtreecommitdiff
Commit message (Expand)AuthorAge
* Replaced ezDefaultSAT with ezSatPtrClifford Wolf2015-02-21
* Catch constants assigned to cell outputs in "flatten"Clifford Wolf2015-02-21
* Added deep recursion warning to AST simplifyClifford Wolf2015-02-20
* Parser support for complex delay expressionsClifford Wolf2015-02-20
* YosysJS firefox fixesClifford Wolf2015-02-19
* YosysJS stuffClifford Wolf2015-02-19
* format fixes in "sat -dump_json"Clifford Wolf2015-02-19
* Added "sat -dump_json" (WaveJSON format)Clifford Wolf2015-02-19
* Changed "show" defaults for Win32Clifford Wolf2015-02-19
* Convert floating point cell parameters to stringsClifford Wolf2015-02-18
* Fixed clang (svn trunk) warningsClifford Wolf2015-02-18
* Merge branch 'master' of github.com:cliffordwolf/yosysClifford Wolf2015-02-18
|\
| * wreduce help typo fixClifford Wolf2015-02-17
| * CodingReadmeClifford Wolf2015-02-17
* | Added "select %xe %cie %coe"Clifford Wolf2015-02-18
|/
* YosysJS fixes for firefoxClifford Wolf2015-02-16
* More YosysJS stuffClifford Wolf2015-02-16
* Added YosysJS wrapperClifford Wolf2015-02-16
* Bugfix in wreduceClifford Wolf2015-02-16
* More yosys.js improvementsClifford Wolf2015-02-16
* Added Viz to yosys.jsClifford Wolf2015-02-15
* Added yosys.js FS supportClifford Wolf2015-02-15
* More emcc stuffClifford Wolf2015-02-15
* Improved yosys.js exampleClifford Wolf2015-02-15
* Added "stat" to "synth" and "synth_xilinx"Clifford Wolf2015-02-15
* Added final checks to "synth" and "synth_xilinx"Clifford Wolf2015-02-15
* Added "check -noinit"Clifford Wolf2015-02-15
* Cosmetic fixes in "hierarchy" for blackbox modulesClifford Wolf2015-02-15
* More emscripten stuff, Added example appClifford Wolf2015-02-15
* Fixed default EMCCFLAGSClifford Wolf2015-02-15
* Smaller default parameters in $mem simlib modelClifford Wolf2015-02-15
* Fixed "stat" handling of blackbox modulesClifford Wolf2015-02-14
* Various fixes for memories with offsetsClifford Wolf2015-02-14
* Added $meminit support to "memory" commandClifford Wolf2015-02-14
* Added $meminit test caseClifford Wolf2015-02-14
* Added "read_verilog -nomeminit" and "nomeminit" attributeClifford Wolf2015-02-14
* Creating $meminit cells in verilog front-endClifford Wolf2015-02-14
* Added $meminit cell typeClifford Wolf2015-02-14
* Fixed handling of "//" in filenames in verilog pre-processorClifford Wolf2015-02-14
* Fixed "write_verilog -attr2comment" handling of "*/" in stringsClifford Wolf2015-02-13
* hotfix in "check" commandClifford Wolf2015-02-13
* Added "check" commandClifford Wolf2015-02-13
* Added AstNode::simplify() recursion counterClifford Wolf2015-02-13
* Added EMCCFLAGSClifford Wolf2015-02-13
* Some test related fixesClifford Wolf2015-02-12
* Added "proc_dlatch"Clifford Wolf2015-02-12
* Less aggressive "share" defaultsClifford Wolf2015-02-10
* Improved read_verilog support for empty behavioral statementsClifford Wolf2015-02-10
* Added "scc -expect <N> -nofeedback"Clifford Wolf2015-02-10
* Some hashlib improvementsClifford Wolf2015-02-09