summaryrefslogtreecommitdiff
Commit message (Expand)AuthorAge
* Added support for scripts with labelsClifford Wolf2014-07-21
* Replaced depricated NEW_WIRE macro with module->addWire() callsClifford Wolf2014-07-21
* Removed deprecated module->new_wire()Clifford Wolf2014-07-21
* Wider range of cell types supported in "share" passClifford Wolf2014-07-21
* Bugfix in satgen for cells with wider in- than outputs.Clifford Wolf2014-07-21
* Added module->remove(), module->addWire(), module->addCell(), cell->check()Clifford Wolf2014-07-21
* Added log_ping()Clifford Wolf2014-07-21
* Use ezSAT::non_incremental() in "share" passClifford Wolf2014-07-21
* Added ezSAT::keep_cnf() and ezSAT::non_incremental()Clifford Wolf2014-07-21
* Fixed ezSAT stand-alone buildClifford Wolf2014-07-21
* Updated minisatClifford Wolf2014-07-21
* Using relative path names in minisat headersClifford Wolf2014-07-21
* Added yet another resource sharing test caseClifford Wolf2014-07-20
* Added support for resource sharing in mux control logicClifford Wolf2014-07-20
* Added "select -assert-count"Clifford Wolf2014-07-20
* Supercell creation for $div/$mod worked all along, fixed test benchesClifford Wolf2014-07-20
* Improved tests/share/generate.pyClifford Wolf2014-07-20
* Fixed creation of shift supercells in "share" passClifford Wolf2014-07-20
* Small fix in tests/vloghtb/run-test.shClifford Wolf2014-07-20
* Activated tests/share in "make test"Clifford Wolf2014-07-20
* Added "miter -equiv -flatten"Clifford Wolf2014-07-20
* Added call_on_selection() and call_on_module() APIClifford Wolf2014-07-20
* Added tests/vloghtb/test_share.shClifford Wolf2014-07-20
* Added tests/share for testing "share" supercell creationClifford Wolf2014-07-20
* Added "share" supercell creationClifford Wolf2014-07-20
* Added removing of always inactive cells to "share" passClifford Wolf2014-07-20
* Progress in "share" passClifford Wolf2014-07-20
* Added std::set<RTLIL::SigBit> to RTLIL::SigSpec conversionClifford Wolf2014-07-20
* Added SIZE() macroClifford Wolf2014-07-20
* Added log_cell()Clifford Wolf2014-07-20
* Progress in "share" passClifford Wolf2014-07-20
* Added tests/vloghtbClifford Wolf2014-07-20
* Use functions instead of always blocks for $mux/$pmux/$safe_pmux in verilog b...Clifford Wolf2014-07-20
* Added support for $bu0 to verilog backendClifford Wolf2014-07-20
* Started to implement real resource sharingClifford Wolf2014-07-19
* Fixed log_id() memory corruptionClifford Wolf2014-07-19
* Improved memory_share log messagesClifford Wolf2014-07-19
* More verbose memory_share help messageClifford Wolf2014-07-19
* Added SAT-based write-port sharing to memory_shareClifford Wolf2014-07-19
* Added ModWalker helper classClifford Wolf2014-07-19
* Some "const" cleanups in SigMapClifford Wolf2014-07-19
* Fixed bug in memory_share feedback-to-en codeClifford Wolf2014-07-19
* Added translation from read-feedback to en-signals in memory_shareClifford Wolf2014-07-18
* Improved seeding of color rng in show commandClifford Wolf2014-07-18
* Only create collision detect logic in memory_share if necessaryClifford Wolf2014-07-18
* Bugfix in tests/memories/run-test.shClifford Wolf2014-07-18
* added tests/memoriesClifford Wolf2014-07-18
* Added memory_shareClifford Wolf2014-07-18
* Added automatic conversion from RTLIL::SigSpec to std::vector<RTLIL::SigBit>Clifford Wolf2014-07-18
* Apply opt_reduce WR_EN opts to the whole mux tree driving the WR_EN portClifford Wolf2014-07-18