summaryrefslogtreecommitdiff
Commit message (Expand)AuthorAge
* separated memory next from write cellAhmed Irfan2015-04-03
* Merge branch 'master' of https://github.com/cliffordwolf/yosysAhmed Irfan2015-04-03
|\
| * documentation improvementsClifford Wolf2015-03-29
| * Ignore celldefine directive in verilog front-endClifford Wolf2015-03-25
| * Fixes in cmos_cells.vClifford Wolf2015-03-25
| * Fixed detection of absolute paths in ABC for win32Clifford Wolf2015-03-22
| * Added blif reference to appnote 010Clifford Wolf2015-03-22
| * Merge branch 'master' of github.com:cliffordwolf/yosysClifford Wolf2015-03-20
| |\
| | * Fixed handling of quotes in liberty parserClifford Wolf2015-03-18
| * | fix for python 2.6.6Clifford Wolf2015-03-20
| |/
| * Added hierarchy -auto-topClifford Wolf2015-03-18
| * Added Verilog backend $dffsr supportClifford Wolf2015-03-18
| * Documentation for JSON format, added attributesClifford Wolf2015-03-06
| * Added very first version of "synth_ice40"Clifford Wolf2015-03-05
| * Fixed bug in "hierarchy" for parametric designsClifford Wolf2015-03-04
| * Json bugfixClifford Wolf2015-03-03
| * Json backend improvementsClifford Wolf2015-03-03
| * Added write_blif -attrClifford Wolf2015-03-02
| * Added JSON backendClifford Wolf2015-03-02
| * Const-fold parameter defs on-demand in AstNode::detectSignWidthWorker()Clifford Wolf2015-03-01
| * Added $assume support to write_smt2Clifford Wolf2015-02-26
| * Added non-std verilog assume() statementClifford Wolf2015-02-26
| * Added $assume cell typeClifford Wolf2015-02-26
| * Merge branch 'master' of github.com:cliffordwolf/yosysClifford Wolf2015-02-25
| |\
| | * Added "keep_hierarchy" attributeClifford Wolf2015-02-25
| * | Bugfix in iopadmapClifford Wolf2015-02-25
| |/
| * Some cleanups in "clean"Clifford Wolf2015-02-24
| * Fixed compilation problems with gcc 4.6.3; use enum instead of const ints.Clifford Wolf2015-02-24
| * Minor "write_smt2" help msg changeClifford Wolf2015-02-22
| * Fixed "check -assert"Clifford Wolf2015-02-22
| * Added "<mod>_a" and "<mod>_i" to write_smt2 outputClifford Wolf2015-02-22
| * Added "check -assert" docClifford Wolf2015-02-22
| * Added "check -assert"Clifford Wolf2015-02-22
| * Fixed "sat -initsteps" off-by-one bugClifford Wolf2015-02-22
| * Added "sat -stepsize" and "sat -tempinduct-step"Clifford Wolf2015-02-21
| * sat docu changeClifford Wolf2015-02-21
| * When "sat -tempinduct-baseonly -maxsteps N" reaches maxsteps it is a good thing.Clifford Wolf2015-02-21
| * Added "sat -tempinduct-baseonly -tempinduct-inductonly"Clifford Wolf2015-02-21
| * Fixed basecase init for "sat -tempinduct"Clifford Wolf2015-02-21
| * Fixed "flatten" for non-pre-derived modulesClifford Wolf2015-02-21
| * Hotfix for yosysjs/demo03.htmlClifford Wolf2015-02-21
| * YosysJS: Wait for Viz to loadClifford Wolf2015-02-21
| * Replaced ezDefaultSAT with ezSatPtrClifford Wolf2015-02-21
| * Catch constants assigned to cell outputs in "flatten"Clifford Wolf2015-02-21
| * Added deep recursion warning to AST simplifyClifford Wolf2015-02-20
| * Parser support for complex delay expressionsClifford Wolf2015-02-20
| * YosysJS firefox fixesClifford Wolf2015-02-19
| * YosysJS stuffClifford Wolf2015-02-19
| * format fixes in "sat -dump_json"Clifford Wolf2015-02-19
| * Added "sat -dump_json" (WaveJSON format)Clifford Wolf2015-02-19