summaryrefslogtreecommitdiff
path: root/frontends/ast/ast.cc
Commit message (Expand)AuthorAge
* Imported yosys 0.7Ruben Undheim2016-11-03
* Squashed commit of the following:Ruben Undheim2016-09-23
* Fixed some visual studio warningsClifford Wolf2016-02-13
* Fixed segfault in AstNode::asRealClifford Wolf2015-09-25
* Fixed AstNode::mkconst_bits() segfault on zero-sized constantClifford Wolf2015-09-24
* Another block of spelling fixesLarry Doolittle2015-08-14
* Fixed trailing whitespacesClifford Wolf2015-07-02
* Added non-std verilog assume() statementClifford Wolf2015-02-26
* Added "read_verilog -nomeminit" and "nomeminit" attributeClifford Wolf2015-02-14
* Creating $meminit cells in verilog front-endClifford Wolf2015-02-14
* Added global yosys_celltypesClifford Wolf2014-12-29
* dict/pool changes in astClifford Wolf2014-12-29
* Changed more code to dict<> and pool<>Clifford Wolf2014-12-28
* Added Yosys::{dict,nodict,vector} container typesClifford Wolf2014-12-26
* Fixed constant "cond ? string1 : string2" with strings of different sizeClifford Wolf2014-10-25
* minor indenting correctionsClifford Wolf2014-10-19
* Builds on Mac 10.9.2 with LLVM 3.5.Parviz Palangpour2014-10-19
* Do not the 'z' modifier in format string (another win32 fix)Clifford Wolf2014-10-11
* Added emscripten (emcc) support to build system and some build fixesClifford Wolf2014-08-22
* Added AstNode::asInt()Clifford Wolf2014-08-21
* Added Verilog/AST support for DPI functions (dpi_call() still unimplemented)Clifford Wolf2014-08-21
* Added support for global tasks and functionsClifford Wolf2014-08-21
* Added const folding of AST_CASE to AST simplifierClifford Wolf2014-08-18
* Use stackmap<> in AST ProcessGeneratorClifford Wolf2014-08-17
* Fixed bug in "read_verilog -ignore_redef"Clifford Wolf2014-08-15
* Changed the AST genWidthRTLIL subst interface to use a std::mapClifford Wolf2014-08-14
* Added module->portsClifford Wolf2014-08-14
* Added AST_MULTIRANGE (arrays with more than 1 dimension)Clifford Wolf2014-08-06
* Preparations for RTLIL::IdString redesign: cleanup of existing codeClifford Wolf2014-08-02
* Replaced sha1 implementationClifford Wolf2014-08-01
* Added module->design and cell->module, wire->module pointersClifford Wolf2014-07-31
* Moved some stuff to kernel/yosys.{h,cc}, using Yosys:: namespaceClifford Wolf2014-07-31
* Added support for "upto" wires to Verilog front- and back-endClifford Wolf2014-07-28
* Using log_assert() instead of assert()Clifford Wolf2014-07-28
* Added proper Design->addModule interfaceClifford Wolf2014-07-27
* Refactoring: Renamed RTLIL::Design::modules to modules_Clifford Wolf2014-07-27
* Use undef (x/z vs. NaN) rules for real values from IEEE Std 1800-2012Clifford Wolf2014-06-16
* Improved AstNode::realAsConst for large numbersClifford Wolf2014-06-15
* Improved AstNode::asReal for large integersClifford Wolf2014-06-15
* improved (fixed) conversion of real values to bit vectorsClifford Wolf2014-06-14
* Added handling of real-valued parameters/localparamsClifford Wolf2014-06-14
* Implemented basic real arithmeticClifford Wolf2014-06-14
* Added Verilog lexer and parser support for real valuesClifford Wolf2014-06-13
* Add support for cell arraysClifford Wolf2014-06-07
* added while and repeat support to verilog parserClifford Wolf2014-06-06
* Include id2ast pointers when dumping ASTClifford Wolf2014-03-05
* Cleanups in handling of read_verilog -defer and -icellsClifford Wolf2014-02-20
* Added Verilog support for "`default_nettype none"Clifford Wolf2014-02-17
* Added support for FOR loops in function calls in parametersClifford Wolf2014-02-14
* Created basic support for function calls in parameter valuesClifford Wolf2014-02-14