summaryrefslogtreecommitdiff
path: root/frontends/ast/simplify.cc
Commit message (Expand)AuthorAge
* Fixed a stupid access after delete bugClifford Wolf2013-12-29
* Added proper === and !== support in constant expressionsClifford Wolf2013-12-27
* Keep strings as strings in const ternary and concatClifford Wolf2013-12-05
* Added const folding support for $signed and $unsignedClifford Wolf2013-12-05
* Fixed generate-for (and disabled double warning for auto-wire)Clifford Wolf2013-12-04
* Added support for $clog2 system functionClifford Wolf2013-12-04
* Various improvements in support for generate statementsClifford Wolf2013-12-04
* Added support for local regs in named blocksClifford Wolf2013-12-04
* Early wire/reg/parameter width calculation in ast/simplifyClifford Wolf2013-11-24
* Fixed async proc detection in mem2regClifford Wolf2013-11-21
* Major improvements in mem2reg and added "init" sync rulesClifford Wolf2013-11-21
* Another name resolution bugfix for generate blocksClifford Wolf2013-11-20
* Do not allow memory bit select on the left side of an assignmentClifford Wolf2013-11-20
* Fixed name resolution of local tasks and functions in generate blockClifford Wolf2013-11-20
* Implemented part/bit select on memory readClifford Wolf2013-11-20
* Fixed two bugs in mem2reg functionality in AST frontendClifford Wolf2013-11-18
* Fixed mem2reg for reg usage outside always blockClifford Wolf2013-11-18
* Fixed handling of different signedness in power operandsClifford Wolf2013-11-08
* Implemented const folding of ternary op with undef selectClifford Wolf2013-11-08
* Fixed handling of power operatorClifford Wolf2013-11-07
* Fixed more extend vs. extend_u0 issuesClifford Wolf2013-11-07
* Disabled const folding of ternary op when select is undefClifford Wolf2013-11-07
* Fixed sign handling in constantsClifford Wolf2013-11-07
* Fixed const folding in corner cases with parametersClifford Wolf2013-11-07
* Fixed at_zero evaluation of dynamic rangesClifford Wolf2013-11-07
* Various fixes for correct parameter supportClifford Wolf2013-11-07
* Another fix for early width and sign detection in ast simplifierClifford Wolf2013-11-04
* Fixed const folding of ternary operatorClifford Wolf2013-11-04
* Use proper bit width ans sign extension for const foldingClifford Wolf2013-11-04
* Fixes for early width and sign detection in ast simplifierClifford Wolf2013-11-04
* further improved early width and sign detection in ast simplifierClifford Wolf2013-11-04
* Behavior should be identical now to rev. 0b4a64ac6adbd6 (next: testing before...Clifford Wolf2013-11-02
* Various ast changes for early expression width detection (prep for constfold ...Clifford Wolf2013-11-02
* Fixed handling of boolean attributes (frontends)Clifford Wolf2013-10-24
* Added support for notif0/notif1 primitivesJohann Glaser2013-08-20
* Added support for bufif0/bufif1 primitivesClifford Wolf2013-08-19
* Improved ast dumping (ast/verilog frontend)Clifford Wolf2013-08-19
* Added defparam support to Verilog/AST frontendClifford Wolf2013-07-04
* Fixes and improvements in AST const foldingClifford Wolf2013-06-10
* Enabled AST/Verilog front-end optimizations per defaultClifford Wolf2013-06-10
* Merge branch 'bugfix'Clifford Wolf2013-05-16
|\
| * Fixed synthesis of functions in latched blocksClifford Wolf2013-05-16
* | Added AST_INITIAL (before verilog "initial" was mapped to AST_ALWAYS)Clifford Wolf2013-03-31
* | Improvements and bugfixes for generate blocks with local signalsClifford Wolf2013-03-26
* | Fixed handling of unconditional generate blocksClifford Wolf2013-03-26
|/
* Added nosync attribute and some async reset related fixesClifford Wolf2013-03-25
* Added mem2reg option to verilog frontendClifford Wolf2013-03-24
* Another fix in mem2reg ast simplify logicClifford Wolf2013-03-24
* Improved mem2reg handling in ast simplifierClifford Wolf2013-03-24
* Tiny fixes to verilog parserClifford Wolf2013-03-23