summaryrefslogtreecommitdiff
path: root/frontends/ast/simplify.cc
Commit message (Expand)AuthorAge
* Fixed handling of re-declarations of wires in tasks and functionsClifford Wolf2015-11-23
* More bugfixes in handling of parameters in tasks and functionsClifford Wolf2015-11-12
* Fixed handling of parameters and localparams in functionsClifford Wolf2015-11-11
* Import more std:: stuff into Yosys namespaceClifford Wolf2015-10-25
* Fixed complexity of assigning to vectors in constant functionsClifford Wolf2015-10-01
* Fixed detection of unconditional $readmem[hb]Clifford Wolf2015-09-30
* Bugfixes in $readmem[hb]Clifford Wolf2015-09-25
* Bugfix in handling of multi-dimensional memoriesClifford Wolf2015-09-23
* Warning for $display/$write outside initial blockClifford Wolf2015-09-23
* Fixed multi-level prefix resolvingClifford Wolf2015-09-22
* Improvements to $display system taskAndrew Zonenberg2015-09-19
* Added AST_INITIAL checks for $finish and $displayClifford Wolf2015-09-18
* Initial implementation of $display()Andrew Zonenberg2015-09-18
* Initial implementation of $finish()Andrew Zonenberg2015-09-18
* Fixed handling of memory read without addressClifford Wolf2015-08-22
* Keep gcc from complaining about uninitialized variablesLarry Doolittle2015-08-14
* Spell check (by Larry Doolittle)Clifford Wolf2015-08-14
* Added WORDS parameter to $meminitClifford Wolf2015-07-31
* Fixed nested mem2regClifford Wolf2015-07-29
* Fixed trailing whitespacesClifford Wolf2015-07-02
* Fixed handling of parameters with reversed rangeClifford Wolf2015-06-08
* Fixed signedness of genvar expressionsClifford Wolf2015-05-29
* Added non-std verilog assume() statementClifford Wolf2015-02-26
* Added deep recursion warning to AST simplifyClifford Wolf2015-02-20
* Parser support for complex delay expressionsClifford Wolf2015-02-20
* Various fixes for memories with offsetsClifford Wolf2015-02-14
* Added "read_verilog -nomeminit" and "nomeminit" attributeClifford Wolf2015-02-14
* Creating $meminit cells in verilog front-endClifford Wolf2015-02-14
* Added AstNode::simplify() recursion counterClifford Wolf2015-02-13
* Added ENABLE_NDEBUG makefile optionsClifford Wolf2015-01-24
* Ignoring more system task and functionsClifford Wolf2015-01-15
* Fixed handling of "input foo; reg [0:0] foo;"Clifford Wolf2015-01-15
* Consolidate "Blocking assignment to memory.." msgs for the same lineClifford Wolf2015-01-15
* dict/pool changes in astClifford Wolf2014-12-29
* Fixed mem2reg warning messageClifford Wolf2014-12-27
* Added log_warning() APIClifford Wolf2014-11-09
* AST simplifier: optimize constant AST_CASE nodes before recursively descendingClifford Wolf2014-10-29
* Improvements in $readmem[bh] implementationClifford Wolf2014-10-26
* Added support for $readmemh/$readmembClifford Wolf2014-10-26
* Fixed various VS warningsClifford Wolf2014-10-18
* Wrapped math in int constructorWilliam Speirs2014-10-17
* Fixed handling of invalid array access in mem2reg codeClifford Wolf2014-10-16
* Renamed SIZE() to GetSize() because of name collision on Win32Clifford Wolf2014-10-10
* Another $clog2 bugfixClifford Wolf2014-09-08
* Fixed $clog2 (off by one error)Clifford Wolf2014-09-06
* Corrected spelling mistakes found by lintianRuben Undheim2014-09-06
* Fixed small memory leak in ast simplifyClifford Wolf2014-08-21
* Added support for DPI function with different names in C and VerilogClifford Wolf2014-08-21
* Fixed memory leak in DPI function callsClifford Wolf2014-08-21
* Added Verilog/AST support for DPI functions (dpi_call() still unimplemented)Clifford Wolf2014-08-21