summaryrefslogtreecommitdiff
path: root/frontends/ast/simplify.cc
Commit message (Expand)AuthorAge
* dict/pool changes in astClifford Wolf2014-12-29
* Fixed mem2reg warning messageClifford Wolf2014-12-27
* Added log_warning() APIClifford Wolf2014-11-09
* AST simplifier: optimize constant AST_CASE nodes before recursively descendingClifford Wolf2014-10-29
* Improvements in $readmem[bh] implementationClifford Wolf2014-10-26
* Added support for $readmemh/$readmembClifford Wolf2014-10-26
* Fixed various VS warningsClifford Wolf2014-10-18
* Wrapped math in int constructorWilliam Speirs2014-10-17
* Fixed handling of invalid array access in mem2reg codeClifford Wolf2014-10-16
* Renamed SIZE() to GetSize() because of name collision on Win32Clifford Wolf2014-10-10
* Another $clog2 bugfixClifford Wolf2014-09-08
* Fixed $clog2 (off by one error)Clifford Wolf2014-09-06
* Corrected spelling mistakes found by lintianRuben Undheim2014-09-06
* Fixed small memory leak in ast simplifyClifford Wolf2014-08-21
* Added support for DPI function with different names in C and VerilogClifford Wolf2014-08-21
* Fixed memory leak in DPI function callsClifford Wolf2014-08-21
* Added Verilog/AST support for DPI functions (dpi_call() still unimplemented)Clifford Wolf2014-08-21
* Added "via_celltype" attribute on task/funcClifford Wolf2014-08-18
* Added const folding of AST_CASE to AST simplifierClifford Wolf2014-08-18
* Fixed handling of task outputsClifford Wolf2014-08-14
* Added AST_MULTIRANGE (arrays with more than 1 dimension)Clifford Wolf2014-08-06
* Improved scope resolution of local regs in Verilog+AST frontendClifford Wolf2014-08-05
* Fixed AST handling of variables declared inside a functions main blockClifford Wolf2014-08-05
* More bugfixes related to new RTLIL::IdStringClifford Wolf2014-08-02
* Preparations for RTLIL::IdString redesign: cleanup of existing codeClifford Wolf2014-08-02
* Moved some stuff to kernel/yosys.{h,cc}, using Yosys:: namespaceClifford Wolf2014-07-31
* Removed left over debug codeClifford Wolf2014-07-28
* Fixed part selects of parametersClifford Wolf2014-07-28
* Added support for "upto" wires to Verilog front- and back-endClifford Wolf2014-07-28
* Using log_assert() instead of assert()Clifford Wolf2014-07-28
* Fixed two memory leaks in ast simplifyClifford Wolf2014-07-25
* Various small fixes (from gcc compiler warnings)Clifford Wolf2014-07-23
* Implemented dynamic bit-/part-select for memory writesClifford Wolf2014-07-17
* Added support for bit/part select to mem2reg rewriterClifford Wolf2014-07-17
* Added support for constant bit- or part-select for memory writesClifford Wolf2014-07-17
* changes in verilog frontend for new $mem/$memwr WR_EN interfaceClifford Wolf2014-07-16
* Fixed processing of initial values for block-local variablesClifford Wolf2014-07-11
* Fixed handling of mixed real/int ternary expressionsClifford Wolf2014-06-25
* Added AstNode::MEM2REG_FL_CMPLX_LHSClifford Wolf2014-06-17
* Improved handling of relational op of real valuesClifford Wolf2014-06-17
* Improved ternary support for real valuesClifford Wolf2014-06-16
* Use undef (x/z vs. NaN) rules for real values from IEEE Std 1800-2012Clifford Wolf2014-06-16
* Improved AstNode::asReal for large integersClifford Wolf2014-06-15
* improved (fixed) conversion of real values to bit vectorsClifford Wolf2014-06-14
* Fixed relational operators for const real expressionsClifford Wolf2014-06-14
* Added support for math functionsClifford Wolf2014-06-14
* Added handling of real-valued parameters/localparamsClifford Wolf2014-06-14
* Implemented more real arithmeticClifford Wolf2014-06-14
* Implemented basic real arithmeticClifford Wolf2014-06-14
* Add support for cell arraysClifford Wolf2014-06-07