summaryrefslogtreecommitdiff
path: root/frontends/ast/simplify.cc
Commit message (Collapse)AuthorAge
* Implemented dynamic bit-/part-select for memory writesClifford Wolf2014-07-17
|
* Added support for bit/part select to mem2reg rewriterClifford Wolf2014-07-17
|
* Added support for constant bit- or part-select for memory writesClifford Wolf2014-07-17
|
* changes in verilog frontend for new $mem/$memwr WR_EN interfaceClifford Wolf2014-07-16
|
* Fixed processing of initial values for block-local variablesClifford Wolf2014-07-11
|
* Fixed handling of mixed real/int ternary expressionsClifford Wolf2014-06-25
|
* Added AstNode::MEM2REG_FL_CMPLX_LHSClifford Wolf2014-06-17
|
* Improved handling of relational op of real valuesClifford Wolf2014-06-17
|
* Improved ternary support for real valuesClifford Wolf2014-06-16
|
* Use undef (x/z vs. NaN) rules for real values from IEEE Std 1800-2012Clifford Wolf2014-06-16
|
* Improved AstNode::asReal for large integersClifford Wolf2014-06-15
|
* improved (fixed) conversion of real values to bit vectorsClifford Wolf2014-06-14
|
* Fixed relational operators for const real expressionsClifford Wolf2014-06-14
|
* Added support for math functionsClifford Wolf2014-06-14
|
* Added handling of real-valued parameters/localparamsClifford Wolf2014-06-14
|
* Implemented more real arithmeticClifford Wolf2014-06-14
|
* Implemented basic real arithmeticClifford Wolf2014-06-14
|
* Add support for cell arraysClifford Wolf2014-06-07
|
* Added support for repeat stmt in const functionsClifford Wolf2014-06-07
|
* further improved const function supportClifford Wolf2014-06-07
|
* improved const function supportClifford Wolf2014-06-06
|
* fix functions with no block (but single statement, loop, etc.)Clifford Wolf2014-06-06
|
* improved ast simplify of const functionsClifford Wolf2014-06-06
|
* Fixed merging of compatible wire decls in AST frontendClifford Wolf2014-03-05
|
* Bugfix in recursive AST simplificationClifford Wolf2014-03-05
|
* Fixed bug in generation of undefs for $memwr MUXesClifford Wolf2014-02-22
|
* Improved support for constant functionsClifford Wolf2014-02-16
|
* Be more conservative with new const-function codeClifford Wolf2014-02-14
|
* Added support for FOR loops in function calls in parametersClifford Wolf2014-02-14
|
* Created basic support for function calls in parameter valuesClifford Wolf2014-02-14
|
* Fixed gcc compiler warnings with release buildClifford Wolf2014-02-06
|
* Fixed bug in sequential sat proofs and improved handling of assertsClifford Wolf2014-02-04
|
* Added constant size expression support of sized constantsClifford Wolf2014-02-01
|
* Bugfix in name resolution with generate blocksClifford Wolf2014-01-30
|
* Fixed algorithmic complexity of AST simplification of long expressionsClifford Wolf2014-01-20
|
* Added $assert cellClifford Wolf2014-01-19
|
* Fixed typo in frontends/ast/simplify.ccClifford Wolf2014-01-12
|
* Fixed a stupid access after delete bugClifford Wolf2013-12-29
|
* Added proper === and !== support in constant expressionsClifford Wolf2013-12-27
|
* Keep strings as strings in const ternary and concatClifford Wolf2013-12-05
|
* Added const folding support for $signed and $unsignedClifford Wolf2013-12-05
|
* Fixed generate-for (and disabled double warning for auto-wire)Clifford Wolf2013-12-04
|
* Added support for $clog2 system functionClifford Wolf2013-12-04
|
* Various improvements in support for generate statementsClifford Wolf2013-12-04
|
* Added support for local regs in named blocksClifford Wolf2013-12-04
|
* Early wire/reg/parameter width calculation in ast/simplifyClifford Wolf2013-11-24
|
* Fixed async proc detection in mem2regClifford Wolf2013-11-21
|
* Major improvements in mem2reg and added "init" sync rulesClifford Wolf2013-11-21
|
* Another name resolution bugfix for generate blocksClifford Wolf2013-11-20
|
* Do not allow memory bit select on the left side of an assignmentClifford Wolf2013-11-20
|