summaryrefslogtreecommitdiff
path: root/frontends/verilog/parser.y
Commit message (Expand)AuthorAge
* Updated lexers & parsers to include prefixesWilliam Speirs2014-10-15
* Renamed SIZE() to GetSize() because of name collision on Win32Clifford Wolf2014-10-10
* Changed frontend-api from FILE to std::istreamClifford Wolf2014-08-23
* Added support for non-standard <plugin>:<c_name> DPI syntaxClifford Wolf2014-08-22
* Added support for DPI function with different names in C and VerilogClifford Wolf2014-08-21
* Added Verilog/AST support for DPI functions (dpi_call() still unimplemented)Clifford Wolf2014-08-21
* Added support for global tasks and functionsClifford Wolf2014-08-21
* Added "via_celltype" attribute on task/funcClifford Wolf2014-08-18
* Also allow "module foobar(input foo, output bar, ...);" syntaxClifford Wolf2014-08-07
* Added AST_MULTIRANGE (arrays with more than 1 dimension)Clifford Wolf2014-08-06
* Improved scope resolution of local regs in Verilog+AST frontendClifford Wolf2014-08-05
* Added support for non-standard "module mod_name(...);" syntaxClifford Wolf2014-08-04
* Moved some stuff to kernel/yosys.{h,cc}, using Yosys:: namespaceClifford Wolf2014-07-31
* fixed parsing of constant with comment between size and valueClifford Wolf2014-07-02
* Fixed parsing of TOK_INTEGER (implies TOK_SIGNED)Clifford Wolf2014-06-16
* Added handling of real-valued parameters/localparamsClifford Wolf2014-06-14
* Added Verilog lexer and parser support for real valuesClifford Wolf2014-06-13
* Added read_verilog -sv options, added support for bit, logic,Clifford Wolf2014-06-12
* Add support for cell arraysClifford Wolf2014-06-07
* made the generate..endgenrate keywords optionalClifford Wolf2014-06-06
* added while and repeat support to verilog parserClifford Wolf2014-06-06
* Replaced depricated %name-prefix= bison directiveClifford Wolf2014-04-20
* Added Verilog support for "`default_nettype none"Clifford Wolf2014-02-17
* Added support for functions returning integerClifford Wolf2014-02-12
* Added constant size expression support of sized constantsClifford Wolf2014-02-01
* Added Verilog parser support for assertsClifford Wolf2014-01-19
* Added proper === and !== support in constant expressionsClifford Wolf2013-12-27
* Added AstNode::mkconst_str APIClifford Wolf2013-12-05
* Various improvements in support for generate statementsClifford Wolf2013-12-04
* Added support for local regs in named blocksClifford Wolf2013-12-04
* Improved handling of initialized registersClifford Wolf2013-11-23
* Implemented indexed part selectsClifford Wolf2013-11-20
* Implemented part/bit select on memory readClifford Wolf2013-11-20
* Added init= attribute for fpga-style reset valuesClifford Wolf2013-11-20
* Fixed parsing of module arguments when one type is used for many argsClifford Wolf2013-11-19
* Fixed parsing of "parameter integer"Clifford Wolf2013-11-13
* Various fixes for correct parameter supportClifford Wolf2013-11-07
* Fixed handling of boolean attributes (frontends)Clifford Wolf2013-10-24
* Fixed handling of boolean attributes (kernel)Clifford Wolf2013-10-24
* Added defparam support to Verilog/AST frontendClifford Wolf2013-07-04
* More fixes for bugs found using xsthammerClifford Wolf2013-06-13
* Added SAT generator and simple sat_solve commandClifford Wolf2013-06-07
* Added AST_INITIAL (before verilog "initial" was mapped to AST_ALWAYS)Clifford Wolf2013-03-31
* Tiny fixes to verilog parserClifford Wolf2013-03-23
* Added support for verilog genblock[index].member syntaxClifford Wolf2013-02-26
* Added support for "always @(*)"Clifford Wolf2013-01-16
* initial importClifford Wolf2013-01-05