summaryrefslogtreecommitdiff
path: root/frontends/verilog/verilog_frontend.cc
Commit message (Expand)AuthorAge
* Merged OSX fixes from Siesh1oo with some modificationsClifford Wolf2014-03-13
* Added Verilog support for "`default_nettype none"Clifford Wolf2014-02-17
* Added a warning note about error reporting to read_verilog help messageClifford Wolf2014-02-16
* Implemented read_verilog -deferClifford Wolf2014-02-13
* Added read_verilog -setattrClifford Wolf2014-02-05
* Added support for blanks after -I and -D in read_verilogClifford Wolf2014-02-02
* Added read_verilog -icells optionClifford Wolf2014-01-29
* Added verilog_defaults commandClifford Wolf2014-01-17
* Added verilog frontend -ignore_redef optionClifford Wolf2013-11-24
* Renamed "placeholder" to "blackbox"Clifford Wolf2013-11-22
* Enable {* .. *} feature per default (removes dependency to REJECT feature in ...Clifford Wolf2013-11-22
* Added support for include directories with the new '-I' argument of theJohann Glaser2013-08-20
* Improved ast dumping (ast/verilog frontend)Clifford Wolf2013-08-19
* Enabled AST/Verilog front-end optimizations per defaultClifford Wolf2013-06-10
* added option '-Dname[=definition]' to command 'read_verilog'Johann Glaser2013-05-19
* Implemented proper handling of stub placeholder modulesClifford Wolf2013-03-28
* Added mem2reg option to verilog frontendClifford Wolf2013-03-24
* Added help messages to ilang and verilog frontendsClifford Wolf2013-03-01
* Moved stand-alone libs to libs/ directory and added libs/subcircuitClifford Wolf2013-02-27
* initial importClifford Wolf2013-01-05