summaryrefslogtreecommitdiff
path: root/frontends/verilog
Commit message (Expand)AuthorAge
* Ignore celldefine directive in verilog front-endClifford Wolf2015-03-25
* Added non-std verilog assume() statementClifford Wolf2015-02-26
* Parser support for complex delay expressionsClifford Wolf2015-02-20
* YosysJS stuffClifford Wolf2015-02-19
* Added "read_verilog -nomeminit" and "nomeminit" attributeClifford Wolf2015-02-14
* Fixed handling of "//" in filenames in verilog pre-processorClifford Wolf2015-02-14
* Improved read_verilog support for empty behavioral statementsClifford Wolf2015-02-10
* Ignoring more system task and functionsClifford Wolf2015-01-15
* Enable bison to be customizedFabio Utzig2015-01-08
* Define YOSYS and SYNTHESIS in preprocClifford Wolf2015-01-02
* Improved some warning messagesClifford Wolf2014-12-27
* Fixed supply0/supply1 with many wiresClifford Wolf2014-12-11
* Fixed minor bug in parsing delaysClifford Wolf2014-11-24
* Fixed two minor bugs in constant parsingClifford Wolf2014-11-24
* Added warning for use of 'z' constants in HDLClifford Wolf2014-11-14
* Fixed parsing of nested verilog concatenation and replicateClifford Wolf2014-11-12
* Added log_warning() APIClifford Wolf2014-11-09
* Fixed parsing of "module mymod #( parameter foo = 1, bar = 2 ..."Clifford Wolf2014-10-30
* Added support for task and function args in parenthesesClifford Wolf2014-10-27
* Re-introduced Yosys::readsome() helper functionClifford Wolf2014-10-23
* Print "SystemVerilog" in "read_verilog -sv" log messagesClifford Wolf2014-10-16
* Updated .gitignore file for ilang and verilog frontendsClifford Wolf2014-10-15
* Replaced readsome() with read() and gcount()Clifford Wolf2014-10-15
* Updated lexers & parsers to include prefixesWilliam Speirs2014-10-15
* Fixed win32 troubles with f.readsome()Clifford Wolf2014-10-11
* Added format __attribute__ to stringf()Clifford Wolf2014-10-10
* Renamed SIZE() to GetSize() because of name collision on Win32Clifford Wolf2014-10-10
* namespace YosysClifford Wolf2014-09-27
* Removed compatbility.{h,cc}: Not using open_memstream/fmemopen anymoreClifford Wolf2014-08-23
* Changed frontend-api from FILE to std::istreamClifford Wolf2014-08-23
* Added support for non-standard <plugin>:<c_name> DPI syntaxClifford Wolf2014-08-22
* Added support for DPI function with different names in C and VerilogClifford Wolf2014-08-21
* Added Verilog/AST support for DPI functions (dpi_call() still unimplemented)Clifford Wolf2014-08-21
* Added support for global tasks and functionsClifford Wolf2014-08-21
* Added "via_celltype" attribute on task/funcClifford Wolf2014-08-18
* Fixed line numbers when using here-doc macrosClifford Wolf2014-08-14
* Added support for non-standard """ macro bodiesClifford Wolf2014-08-13
* Also allow "module foobar(input foo, output bar, ...);" syntaxClifford Wolf2014-08-07
* Added AST_MULTIRANGE (arrays with more than 1 dimension)Clifford Wolf2014-08-06
* Improved scope resolution of local regs in Verilog+AST frontendClifford Wolf2014-08-05
* Added support for non-standard "module mod_name(...);" syntaxClifford Wolf2014-08-04
* Moved some stuff to kernel/yosys.{h,cc}, using Yosys:: namespaceClifford Wolf2014-07-31
* Fixed counting verilog line numbers for "// synopsys translate_off" sectionsClifford Wolf2014-07-30
* Fixed Verilog pre-processor for files with no trailing newlineClifford Wolf2014-07-29
* Using log_assert() instead of assert()Clifford Wolf2014-07-28
* Added "make PRETTY=1"Clifford Wolf2014-07-24
* fixed parsing of constant with comment between size and valueClifford Wolf2014-07-02
* Fixed parsing of TOK_INTEGER (implies TOK_SIGNED)Clifford Wolf2014-06-16
* Improved parsing of large integer constantsClifford Wolf2014-06-15
* Added handling of real-valued parameters/localparamsClifford Wolf2014-06-14