summaryrefslogtreecommitdiff
path: root/frontends
Commit message (Expand)AuthorAge
* Improved AstNode::realAsConst for large numbersClifford Wolf2014-06-15
* Improved parsing of large integer constantsClifford Wolf2014-06-15
* Improved AstNode::asReal for large integersClifford Wolf2014-06-15
* improved (fixed) conversion of real values to bit vectorsClifford Wolf2014-06-14
* Fixed relational operators for const real expressionsClifford Wolf2014-06-14
* Added support for math functionsClifford Wolf2014-06-14
* Added handling of real-valued parameters/localparamsClifford Wolf2014-06-14
* Implemented more real arithmeticClifford Wolf2014-06-14
* Implemented basic real arithmeticClifford Wolf2014-06-14
* Added real->int convertion in ast genrtlilClifford Wolf2014-06-14
* Added Verilog lexer and parser support for real valuesClifford Wolf2014-06-13
* Added read_verilog -sv options, added support for bit, logic,Clifford Wolf2014-06-12
* Add support for cell arraysClifford Wolf2014-06-07
* Added support for repeat stmt in const functionsClifford Wolf2014-06-07
* further improved const function supportClifford Wolf2014-06-07
* made the generate..endgenrate keywords optionalClifford Wolf2014-06-06
* improved const function supportClifford Wolf2014-06-06
* fix functions with no block (but single statement, loop, etc.)Clifford Wolf2014-06-06
* improved ast simplify of const functionsClifford Wolf2014-06-06
* added while and repeat support to verilog parserClifford Wolf2014-06-06
* Improved error message for options after front-end filename argumentsClifford Wolf2014-06-04
* new flags -ignore_miss_func and -ignore_miss_dir for read_libertyJohann Glaser2014-05-28
* Fixed clang -Wdeprecated-register warningsClifford Wolf2014-04-20
* Replaced depricated %name-prefix= bison directiveClifford Wolf2014-04-20
* Fixed mapping of Verific WIDE_DFFRS operatorClifford Wolf2014-03-20
* Fixed mapping of Verific FADD primitive with unconnected outputsClifford Wolf2014-03-20
* Progress in Verific bindingsClifford Wolf2014-03-17
* Progress in Verific bindingsClifford Wolf2014-03-17
* Progress in Verific bindingsClifford Wolf2014-03-17
* Added support for memories to verific bindingsClifford Wolf2014-03-16
* Use Verific Net::{IsGnd,IsPwr} API in Verific bindingsClifford Wolf2014-03-16
* Progress in Verific bindingsClifford Wolf2014-03-15
* Progress in Verific bindingsClifford Wolf2014-03-15
* Progress in Verific bindingsClifford Wolf2014-03-15
* Progress in Verific bindingsClifford Wolf2014-03-14
* Progress in Verific bindingsClifford Wolf2014-03-14
* Progress in Verific bindingsClifford Wolf2014-03-13
* Copy Verific vdbs files to Yosys "share" data directoryClifford Wolf2014-03-13
* Added test_navre.ys for verific frontendClifford Wolf2014-03-13
* Merged OSX fixes from Siesh1oo with some modificationsClifford Wolf2014-03-13
* Merged a few fixes for non-posix systems from github.com/Siesh1oo/yosysClifford Wolf2014-03-11
* Added support for `line compiler directiveClifford Wolf2014-03-11
* Improved verific command (added support for some operators)Clifford Wolf2014-03-10
* Improvements in verific commandClifford Wolf2014-03-10
* Added "verific" commandClifford Wolf2014-03-09
* Bugfix in ilang frontend autoidx recoveryClifford Wolf2014-03-07
* Fixed gcc compiler warningClifford Wolf2014-03-06
* Include id2ast pointers when dumping ASTClifford Wolf2014-03-05
* Fixed merging of compatible wire decls in AST frontendClifford Wolf2014-03-05
* Bugfix in recursive AST simplificationClifford Wolf2014-03-05