summaryrefslogtreecommitdiff
path: root/frontends
Commit message (Collapse)AuthorAge
* Verific build fixesClifford Wolf2015-05-17
|
* Verilog front-end: define `BLACKBOX in -lib modeClifford Wolf2015-04-19
|
* Ignore celldefine directive in verilog front-endClifford Wolf2015-03-25
|
* Const-fold parameter defs on-demand in AstNode::detectSignWidthWorker()Clifford Wolf2015-03-01
|
* Added non-std verilog assume() statementClifford Wolf2015-02-26
|
* Added deep recursion warning to AST simplifyClifford Wolf2015-02-20
|
* Parser support for complex delay expressionsClifford Wolf2015-02-20
|
* YosysJS stuffClifford Wolf2015-02-19
|
* Convert floating point cell parameters to stringsClifford Wolf2015-02-18
|
* Various fixes for memories with offsetsClifford Wolf2015-02-14
|
* Added "read_verilog -nomeminit" and "nomeminit" attributeClifford Wolf2015-02-14
|
* Creating $meminit cells in verilog front-endClifford Wolf2015-02-14
|
* Fixed handling of "//" in filenames in verilog pre-processorClifford Wolf2015-02-14
|
* Added AstNode::simplify() recursion counterClifford Wolf2015-02-13
|
* Improved read_verilog support for empty behavioral statementsClifford Wolf2015-02-10
|
* Ignore explicit assignments to constants in HDL codeClifford Wolf2015-02-08
|
* Fixed a bug with autowire bit sizeClifford Wolf2015-02-08
| | | | (removed leftover from when we tried to auto-size the wires)
* Added ENABLE_NDEBUG makefile optionsClifford Wolf2015-01-24
|
* Ignoring more system task and functionsClifford Wolf2015-01-15
|
* Fixed handling of "input foo; reg [0:0] foo;"Clifford Wolf2015-01-15
|
* Consolidate "Blocking assignment to memory.." msgs for the same lineClifford Wolf2015-01-15
|
* Enable bison to be customizedFabio Utzig2015-01-08
|
* Define YOSYS and SYNTHESIS in preprocClifford Wolf2015-01-02
|
* Fixed memory->start_offset handlingClifford Wolf2015-01-01
|
* Added global yosys_celltypesClifford Wolf2014-12-29
|
* dict/pool changes in astClifford Wolf2014-12-29
|
* Changed more code to dict<> and pool<>Clifford Wolf2014-12-28
|
* Improved some warning messagesClifford Wolf2014-12-27
|
* Fixed mem2reg warning messageClifford Wolf2014-12-27
|
* Added Yosys::{dict,nodict,vector} container typesClifford Wolf2014-12-26
|
* Renamed extend() to extend_xx(), changed most users to extend_u0()Clifford Wolf2014-12-24
|
* Fixed supply0/supply1 with many wiresClifford Wolf2014-12-11
|
* Fixed minor bug in parsing delaysClifford Wolf2014-11-24
|
* Fixed two minor bugs in constant parsingClifford Wolf2014-11-24
|
* Added warning for use of 'z' constants in HDLClifford Wolf2014-11-14
|
* Fixed parsing of nested verilog concatenation and replicateClifford Wolf2014-11-12
|
* Added log_warning() APIClifford Wolf2014-11-09
|
* Added "ENABLE_PLUGINS := 0" to verific amd64 build instructionsClifford Wolf2014-11-08
|
* Fixed parsing of "module mymod #( parameter foo = 1, bar = 2 ..."Clifford Wolf2014-10-30
|
* AST simplifier: optimize constant AST_CASE nodes before recursively descendingClifford Wolf2014-10-29
|
* Added support for task and function args in parenthesesClifford Wolf2014-10-27
|
* Improvements in $readmem[bh] implementationClifford Wolf2014-10-26
|
* Added support for $readmemh/$readmembClifford Wolf2014-10-26
|
* Fixed constant "cond ? string1 : string2" with strings of different sizeClifford Wolf2014-10-25
|
* Re-introduced Yosys::readsome() helper functionClifford Wolf2014-10-23
| | | | (f.read() + f.gcount() made problems with lines > 16kB)
* minor indenting correctionsClifford Wolf2014-10-19
|
* Builds on Mac 10.9.2 with LLVM 3.5.Parviz Palangpour2014-10-19
|
* Fixed various VS warningsClifford Wolf2014-10-18
|
* Header changes so it will compile on VSWilliam Speirs2014-10-17
|
* Wrapped math in int constructorWilliam Speirs2014-10-17
|