summaryrefslogtreecommitdiff
path: root/kernel/driver.cc
Commit message (Expand)AuthorAge
* Added emscripten (emcc) support to build system and some build fixesClifford Wolf2014-08-22
* Added "plugin" commandClifford Wolf2014-08-22
* Added log_spacer()Clifford Wolf2014-08-16
* Added logfile hash to statistics footerClifford Wolf2014-08-01
* Added per-pass cpu usage statisticsClifford Wolf2014-08-01
* Moved some stuff to kernel/yosys.{h,cc}, using Yosys:: namespaceClifford Wolf2014-07-31
* Added "yosys -A"Clifford Wolf2014-07-31
* Added "yosys -Q"Clifford Wolf2014-07-31
* Added "kernel/yosys.h" and "kernel/yosys.cc"Clifford Wolf2014-07-30
* Added "test_cell" commandClifford Wolf2014-07-29
* Using log_assert() instead of assert()Clifford Wolf2014-07-28
* Added log_cmd_error_expectionClifford Wolf2014-07-27
* Refactoring: Renamed RTLIL::Design::modules to modules_Clifford Wolf2014-07-27
* Refactoring: Renamed RTLIL::Module::cells to cells_Clifford Wolf2014-07-27
* Refactoring: Renamed RTLIL::Module::wires to wires_Clifford Wolf2014-07-27
* Added support for here documentsClifford Wolf2014-07-26
* Disabled cover() for non-linux buildsClifford Wolf2014-07-25
* Added "cover" commandClifford Wolf2014-07-24
* Now using a dedicated ELF section for all coverage countersClifford Wolf2014-07-24
* Added support for YOSYS_COVER_FILE env variableClifford Wolf2014-07-24
* Added support for YOSYS_COVER_DIR env variableClifford Wolf2014-07-24
* Added support for scripts with labelsClifford Wolf2014-07-21
* Use "verilog -sv" to parse .sv filesClifford Wolf2014-07-11
* - kernel/register.h, kernel/driver.cc: refactor rewrite_yosys_exe()/get_shar...Siesh1oo2014-03-12
* Merged a few fixes for non-posix systems from github.com/Siesh1oo/yosysClifford Wolf2014-03-11
* Added echo commandClifford Wolf2014-02-07
* Added support for backslash continuation in script filesClifford Wolf2014-02-06
* Added yosys -H for command listClifford Wolf2014-01-30
* Added -h command line optionClifford Wolf2014-01-29
* Added "history" commandClifford Wolf2013-12-02
* Using RTLIL::id2cstr for prompt printingClifford Wolf2013-11-29
* Some driver changes/fixesClifford Wolf2013-11-22
* Added -v<level> option and some minor driver cleanupsClifford Wolf2013-11-17
* Call internal checker more oftenClifford Wolf2013-11-10
* Write yosys version to output filesClifford Wolf2013-11-03
* Fixed get_share_file_name() for installed yosysClifford Wolf2013-10-27
* Added API and Makefile rules for share/ filesClifford Wolf2013-10-27
* Added version info to yosys command and added -V optionClifford Wolf2013-08-20
* Added proper deallocation of history bufferClifford Wolf2013-08-06
* Added history file read/write to driverClifford Wolf2013-06-10
* Set rl_basic_word_break_characters in shellClifford Wolf2013-06-09
* Improved readline tab completionClifford Wolf2013-06-09
* Look for yosys-abc and yosys-svgviewer where the main exe isClifford Wolf2013-06-09
* Only initialize TCL interpreter when neededClifford Wolf2013-05-23
* Added tcl "yosys -import" commandClifford Wolf2013-05-02
* Improved/simplified TCL bindingsClifford Wolf2013-05-01
* Removed 4096 bytes limit for size of command from script fileClifford Wolf2013-04-01
* Added "script" commandClifford Wolf2013-03-31
* Implemented TCL support (only via -c option at the moment)Clifford Wolf2013-03-28
* Added -S option for simple synthesis to gate logicClifford Wolf2013-03-21