summaryrefslogtreecommitdiff
path: root/kernel/driver.cc
Commit message (Collapse)AuthorAge
...
* Added support for here documentsClifford Wolf2014-07-26
|
* Disabled cover() for non-linux buildsClifford Wolf2014-07-25
|
* Added "cover" commandClifford Wolf2014-07-24
|
* Now using a dedicated ELF section for all coverage countersClifford Wolf2014-07-24
|
* Added support for YOSYS_COVER_FILE env variableClifford Wolf2014-07-24
|
* Added support for YOSYS_COVER_DIR env variableClifford Wolf2014-07-24
|
* Added support for scripts with labelsClifford Wolf2014-07-21
|
* Use "verilog -sv" to parse .sv filesClifford Wolf2014-07-11
|
* - kernel/register.h, kernel/driver.cc: refactor ↵Siesh1oo2014-03-12
| | | | | | | rewrite_yosys_exe()/get_share_file_name() to portable proc_self_dirname()/proc_share_dirname(). This refactoring improves robustness and allows OSX support with only 7 new lines of code, and easy extension for other systems. - passes/abc/abc.cc, passes/cmds/show.cc, passes/techmap/techmap.cc: use new, refactored semantics.
* Merged a few fixes for non-posix systems from github.com/Siesh1oo/yosysClifford Wolf2014-03-11
| | | | (see https://github.com/cliffordwolf/yosys/pull/28)
* Added echo commandClifford Wolf2014-02-07
|
* Added support for backslash continuation in script filesClifford Wolf2014-02-06
|
* Added yosys -H for command listClifford Wolf2014-01-30
|
* Added -h command line optionClifford Wolf2014-01-29
|
* Added "history" commandClifford Wolf2013-12-02
|
* Using RTLIL::id2cstr for prompt printingClifford Wolf2013-11-29
|
* Some driver changes/fixesClifford Wolf2013-11-22
|
* Added -v<level> option and some minor driver cleanupsClifford Wolf2013-11-17
|
* Call internal checker more oftenClifford Wolf2013-11-10
|
* Write yosys version to output filesClifford Wolf2013-11-03
|
* Fixed get_share_file_name() for installed yosysClifford Wolf2013-10-27
|
* Added API and Makefile rules for share/ filesClifford Wolf2013-10-27
|
* Added version info to yosys command and added -V optionClifford Wolf2013-08-20
|
* Added proper deallocation of history bufferClifford Wolf2013-08-06
|
* Added history file read/write to driverClifford Wolf2013-06-10
|
* Set rl_basic_word_break_characters in shellClifford Wolf2013-06-09
|
* Improved readline tab completionClifford Wolf2013-06-09
|
* Look for yosys-abc and yosys-svgviewer where the main exe isClifford Wolf2013-06-09
|
* Only initialize TCL interpreter when neededClifford Wolf2013-05-23
|
* Added tcl "yosys -import" commandClifford Wolf2013-05-02
|
* Improved/simplified TCL bindingsClifford Wolf2013-05-01
|
* Removed 4096 bytes limit for size of command from script fileClifford Wolf2013-04-01
|
* Added "script" commandClifford Wolf2013-03-31
|
* Implemented TCL support (only via -c option at the moment)Clifford Wolf2013-03-28
|
* Added -S option for simple synthesis to gate logicClifford Wolf2013-03-21
|
* Disabled the per-default dumping of ILANG codeClifford Wolf2013-03-21
|
* fixed typosJohann Glaser2013-03-18
|
* Improved error message on failed module loadClifford Wolf2013-03-06
|
* Added support for loadable modules (aka plugins)Clifford Wolf2013-03-06
|
* Implemented general handler for selection argumentsClifford Wolf2013-03-03
|
* Added more help messagesClifford Wolf2013-03-01
|
* Improved help message for "shell" commandClifford Wolf2013-02-28
|
* Added help for command line optionsClifford Wolf2013-02-28
|
* Changed default frontend for "-" to "script" (was: "ilang")Clifford Wolf2013-02-27
|
* Improvements in command shellClifford Wolf2013-01-06
| | | | | | - Added 'shell' command (run interactive shell from synth script) - Added support for ; as cmd seperator as in "proc; opt" - Fixed c++ static initialization order problem with pass register
* initial importClifford Wolf2013-01-05