summaryrefslogtreecommitdiff
path: root/kernel
Commit message (Collapse)AuthorAge
...
* Fixed cstr_buf for std::string with small string optimizationClifford Wolf2015-06-11
|
* Improvements in cellaigs.cc and "json -aig"Clifford Wolf2015-06-11
|
* AigMaker refactoringClifford Wolf2015-06-10
|
* Added "json -aig"Clifford Wolf2015-06-10
|
* Fixed cellaigs port extendingClifford Wolf2015-06-10
|
* Added "aig" passClifford Wolf2015-06-09
|
* Added cellaigs APIClifford Wolf2015-06-09
|
* Fixed "avail_parameters" handling in module clone/copyClifford Wolf2015-06-08
|
* Added log_dump() support for IdStringsClifford Wolf2015-06-08
|
* Added read_blif commandClifford Wolf2015-05-17
|
* Verific build fixesClifford Wolf2015-05-17
|
* Added $eq/$neq -> $logic_not/$reduce_bool optimizationClifford Wolf2015-04-29
|
* Improved attributes API and handling of "src" attributesClifford Wolf2015-04-24
|
* A "#" does start a comment, not a label.Clifford Wolf2015-04-16
|
* Added back-end auto-detect for .edif and .jsonClifford Wolf2015-04-09
|
* Fixed const2big performance bugClifford Wolf2015-04-09
|
* Added support for "file names with blanks"Clifford Wolf2015-04-08
|
* Added hashlib support for std::tuple<>Clifford Wolf2015-04-07
|
* Added pool<K>::pop()Clifford Wolf2015-04-07
|
* Added support for initialized bramsClifford Wolf2015-04-06
|
* Avoid parameter values with size 0 ($mem cells)Clifford Wolf2015-04-05
|
* Added $_MUX4_, $_MUX8_, and $_MUX16_ cell typesClifford Wolf2015-04-05
|
* Added "dffinit", Support for initialized Xilinx DFFClifford Wolf2015-04-04
|
* Fixed detection of absolute paths in ABC for win32Clifford Wolf2015-03-22
|
* Added $assume cell typeClifford Wolf2015-02-26
|
* Some cleanups in "clean"Clifford Wolf2015-02-24
|
* Replaced ezDefaultSAT with ezSatPtrClifford Wolf2015-02-21
|
* YosysJS stuffClifford Wolf2015-02-19
|
* Fixed clang (svn trunk) warningsClifford Wolf2015-02-18
|
* More YosysJS stuffClifford Wolf2015-02-16
|
* Added YosysJS wrapperClifford Wolf2015-02-16
|
* More emcc stuffClifford Wolf2015-02-15
|
* More emscripten stuff, Added example appClifford Wolf2015-02-15
|
* Added $meminit support to "memory" commandClifford Wolf2015-02-14
|
* Added $meminit cell typeClifford Wolf2015-02-14
|
* Some hashlib improvementsClifford Wolf2015-02-09
|
* Fixed creation of command reference in manualClifford Wolf2015-02-09
|
* Fixed eval_select_op() apiClifford Wolf2015-02-08
|
* Added eval_select_args() and eval_select_op()Clifford Wolf2015-02-08
|
* Various ModIndex improvementsClifford Wolf2015-02-08
|
* Added SigSpec::has_const()Clifford Wolf2015-02-08
|
* Added cell->known(), cell->input(portname), cell->output(portname)Clifford Wolf2015-02-07
|
* Skip blackbox modules in design->selected_modules()Clifford Wolf2015-02-03
|
* Added "yosys -L logfile"Clifford Wolf2015-02-03
|
* Added "make mklibyosys", some minor API changesClifford Wolf2015-02-01
|
* Added yosys_banner(), Updated Copyright rangeClifford Wolf2015-02-01
|
* Added <algorithm> include to hashlib.hClifford Wolf2015-02-01
|
* Log msg changeClifford Wolf2015-01-31
|
* Added "equiv_induct -undef"Clifford Wolf2015-01-31
|
* Added "equiv_simple -undef"Clifford Wolf2015-01-31
|