summaryrefslogtreecommitdiff
path: root/manual
Commit message (Expand)AuthorAge
* Progress in presentationClifford Wolf2014-02-21
* Progress in presentationClifford Wolf2014-02-21
* Progress in presentationClifford Wolf2014-02-20
* Progress in presentationClifford Wolf2014-02-20
* Progress in presentationClifford Wolf2014-02-20
* Progress in presentationClifford Wolf2014-02-18
* Progress in presentationClifford Wolf2014-02-17
* Progress in presentationClifford Wolf2014-02-16
* Progress in presentationClifford Wolf2014-02-16
* Progress in presentationClifford Wolf2014-02-16
* Progress in presentationClifford Wolf2014-02-16
* Improved "make manual" and "make clean"Clifford Wolf2014-02-11
* Added $slice and $concat cell typesClifford Wolf2014-02-07
* presentation progressClifford Wolf2014-02-06
* presentation progressClifford Wolf2014-02-05
* presentation progressClifford Wolf2014-02-05
* presentation progressClifford Wolf2014-02-05
* presentation progressClifford Wolf2014-02-04
* presentation progressClifford Wolf2014-02-04
* presentation progressClifford Wolf2014-02-04
* presentation progressClifford Wolf2014-02-03
* Added TRANSPARENT parameter to $memrd (and RD_TRANSPARENT to $mem)Clifford Wolf2014-02-03
* presentation progressClifford Wolf2014-02-02
* presentation progressClifford Wolf2014-02-02
* presentation progressClifford Wolf2014-02-02
* presentation progressClifford Wolf2014-02-02
* Progress on presentationClifford Wolf2014-01-31
* presentation progressClifford Wolf2014-01-30
* presentation progressClifford Wolf2014-01-29
* presentation progressClifford Wolf2014-01-29
* presentation progressClifford Wolf2014-01-28
* Renamed manual/FILES_* directoriesClifford Wolf2014-01-28
* Progress on presentationClifford Wolf2014-01-28
* Progress on presentationClifford Wolf2014-01-27
* Added first presentation slidesClifford Wolf2014-01-27
* Merge branch 'master' of https://github.com/cliffordwolf/yosys into btorAhmed Irfan2014-01-20
|\
| * Added $assert cellClifford Wolf2014-01-19
* | Merge branch 'master' of https://github.com/cliffordwolf/yosys into btorAhmed Irfan2014-01-03
|\|
| * Added correct handling of $memwr priorityClifford Wolf2014-01-03
| * Updated manual/command-reference-manual.texClifford Wolf2013-12-28
| * Added new cell types to manualClifford Wolf2013-12-28
* | makefileAhmed Irfan2014-01-03
|/
* Finished AppNote 011Clifford Wolf2013-12-08
* Progress on AppNote 011Clifford Wolf2013-12-08
* Progress on AppNote 011Clifford Wolf2013-12-07
* Progress on AppNote 011Clifford Wolf2013-12-07
* Progress on AppNote 011Clifford Wolf2013-12-07
* Fixed bug in example prog in appnote 011Clifford Wolf2013-12-05
* Progress on AppNote 011Clifford Wolf2013-12-02
* Progress on AppNote 011Clifford Wolf2013-12-01