summaryrefslogtreecommitdiff
path: root/techlibs/common/techmap.v
Commit message (Collapse)AuthorAge
* Using maccmap for $macc and $mul techmapClifford Wolf2014-09-07
|
* Various bug fixes (related to $macc model testing)Clifford Wolf2014-09-06
|
* Added $macc SAT modelClifford Wolf2014-09-06
|
* Added $macc simlib model (also use as techmap rule for now)Clifford Wolf2014-09-06
|
* Removed $bu0 cell typeClifford Wolf2014-09-04
|
* Added $lut support in test_cell, techmap, satgenClifford Wolf2014-08-31
|
* Added $alu cell typeClifford Wolf2014-08-30
|
* Replaced $__alu CO/CS outputs with full-width CO outputClifford Wolf2014-08-30
|
* Using "via_celltype" in $mul carry-save-acc implementationClifford Wolf2014-08-18
|
* Performance fix for new $__lcu techmap ruleClifford Wolf2014-08-18
|
* Replaced recursive lcu scheme with bk adderClifford Wolf2014-08-18
|
* Multiply using a carry-save accumulatorClifford Wolf2014-08-16
|
* Changes in techmap $__alu interfaceClifford Wolf2014-08-16
|
* Renamed $_INV_ cell type to $_NOT_Clifford Wolf2014-08-15
|
* Simplified $__arraymul techmap ruleClifford Wolf2014-08-14
|
* RIP $safe_pmuxClifford Wolf2014-08-14
|
* Added techmap support for actual lookahead carry unitClifford Wolf2014-08-13
|
* Preparations for lookahead ALU support in techmap.vClifford Wolf2014-08-13
|
* New interface for $__alu in techmap.vClifford Wolf2014-08-13
|
* Implemented recursive techmapClifford Wolf2014-08-03
|
* Renamed "stdcells.v" to "techmap.v"Clifford Wolf2014-07-31