summaryrefslogtreecommitdiff
path: root/tests/hana
Commit message (Collapse)AuthorAge
* Imported yosys 0.7Ruben Undheim2016-11-03
|
* Another block of spelling fixesLarry Doolittle2015-08-14
| | | | Smaller this time
* Added autotest -e (do not use -noexpr on write_verilog)Clifford Wolf2014-08-30
|
* Consolidated hana test benches into fewer filesClifford Wolf2014-08-01
| | | | | | | | for pf in test_simulation_{always,and,buffer,decoder,inc,mux,nand,nor,or,seq,shifter,sop,techmap,xnor,xor}; do gawk 'FNR == 1 { printf("\n// %s\n",FILENAME); } { gsub("^module *", sprintf("module f%d_",ARGIND)); print; }' \ ${pf}_*_test.v > $pf.v; ../tools/autotest.sh $pf.v; mv -v ${pf}_*_test.v Attic/; done; ..etc..
* Added "make -j{N}" support to "make test"Clifford Wolf2014-07-30
|
* Added note to "make test": use git checkout of iverilogClifford Wolf2014-07-16
|
* Added tests/realmath to "make test"Clifford Wolf2014-06-15
|
* added more .gitignore files (make test)Clifford Wolf2013-01-05
|
* initial importClifford Wolf2013-01-05