summaryrefslogtreecommitdiff
path: root/tests
Commit message (Collapse)AuthorAge
* Added "make -j{N}" support to "make test"Clifford Wolf2014-07-30
|
* Renamed "write_autotest" to "test_autotb" and moved to passes/tests/Clifford Wolf2014-07-29
|
* Added support for "upto" wires to Verilog front- and back-endClifford Wolf2014-07-28
|
* Improvements in tests/vloghtbClifford Wolf2014-07-28
|
* Added techmap -externClifford Wolf2014-07-27
|
* Added tests/various/.gitignoreClifford Wolf2014-07-26
|
* Added tests/various/submod_extract.ysClifford Wolf2014-07-26
|
* Use "wget -N" in tests/vloghtb/run-test.shClifford Wolf2014-07-26
|
* Renamed some of the test cases in tests/simple to avoid name collisionsClifford Wolf2014-07-25
|
* Use "opt -fine" in test/vloght/test_mapopt.shClifford Wolf2014-07-21
|
* Added "opt_const -fine" and "opt_reduce -fine"Clifford Wolf2014-07-21
|
* Various improvements in test/vloghtbClifford Wolf2014-07-21
|
* Wider range of cell types supported in "share" passClifford Wolf2014-07-21
|
* Added yet another resource sharing test caseClifford Wolf2014-07-20
|
* Supercell creation for $div/$mod worked all along, fixed test benchesClifford Wolf2014-07-20
|
* Improved tests/share/generate.pyClifford Wolf2014-07-20
|
* Small fix in tests/vloghtb/run-test.shClifford Wolf2014-07-20
|
* Added "miter -equiv -flatten"Clifford Wolf2014-07-20
|
* Added tests/vloghtb/test_share.shClifford Wolf2014-07-20
|
* Added tests/share for testing "share" supercell creationClifford Wolf2014-07-20
|
* Added tests/vloghtbClifford Wolf2014-07-20
|
* Added SAT-based write-port sharing to memory_shareClifford Wolf2014-07-19
|
* Fixed bug in memory_share feedback-to-en codeClifford Wolf2014-07-19
|
* Added translation from read-feedback to en-signals in memory_shareClifford Wolf2014-07-18
|
* Bugfix in tests/memories/run-test.shClifford Wolf2014-07-18
|
* added tests/memoriesClifford Wolf2014-07-18
|
* Also simulate unmapped memories in "make test"Clifford Wolf2014-07-17
|
* Implemented dynamic bit-/part-select for memory writesClifford Wolf2014-07-17
|
* Added support for bit/part select to mem2reg rewriterClifford Wolf2014-07-17
|
* Added support for constant bit- or part-select for memory writesClifford Wolf2014-07-17
|
* Changed tests/techmap/mem_simple_4x1_map for new $mem/$memwr WR_EN interfaceClifford Wolf2014-07-16
|
* Added note to "make test": use git checkout of iverilogClifford Wolf2014-07-16
|
* now ignore init attributes on non-register wires in sat commandClifford Wolf2014-07-05
|
* fixed parsing of constant with comment between size and valueClifford Wolf2014-07-02
|
* Fixed handling of mixed real/int ternary expressionsClifford Wolf2014-06-25
|
* Little steps in realmath test benchClifford Wolf2014-06-21
|
* Added test case for AstNode::MEM2REG_FL_CMPLX_LHSClifford Wolf2014-06-17
|
* Improved handling of relational op of real valuesClifford Wolf2014-06-17
|
* Little steps in realmath test benchClifford Wolf2014-06-16
|
* Removed long running tests from tests/simple/realexpr.v (replaced by ↵Clifford Wolf2014-06-15
| | | | tests/realmath)
* Added tests/realmath to "make test"Clifford Wolf2014-06-15
|
* Improved realmath test benchClifford Wolf2014-06-15
|
* improved realmath test benchClifford Wolf2014-06-14
|
* progress in realmath test benchClifford Wolf2014-06-14
|
* added first draft of real math testcase generatorClifford Wolf2014-06-14
|
* Added support for math functionsClifford Wolf2014-06-14
|
* Added realexpr.v test caseClifford Wolf2014-06-14
|
* Added read_verilog -sv options, added support for bit, logic,Clifford Wolf2014-06-12
| | | | allways_ff, always_comb, and always_latch
* added tests for new verilog featuresClifford Wolf2014-06-07
|
* Added tests/simple/repwhile.vClifford Wolf2014-06-06
|