summaryrefslogtreecommitdiff
path: root/tests
Commit message (Expand)AuthorAge
* Cosmetic changes to FSM testsClifford Wolf2014-08-21
* Some improvements in FSM mapping and recodingClifford Wolf2014-08-14
* Added test_verific mode to tests/fsm/generate.pyClifford Wolf2014-08-12
* Added multi-dim memory test (requires iverilog git head)Clifford Wolf2014-08-12
* Fixed FSM mapping for multiple reset-like signalsClifford Wolf2014-08-10
* Some improvements in fsm_opt and fsm_map for FSM with unreachable statesClifford Wolf2014-08-09
* Improved FSM testsClifford Wolf2014-08-08
* Added FSM test benchClifford Wolf2014-08-08
* Improved scope resolution of local regs in Verilog+AST frontendClifford Wolf2014-08-05
* Fixed AST handling of variables declared inside a functions main blockClifford Wolf2014-08-05
* Added "wreduce" to some of the standard test benchesClifford Wolf2014-08-03
* Consolidated hana test benches into fewer filesClifford Wolf2014-08-01
* Added "test_autotb -n <num_iter>" optionClifford Wolf2014-08-01
* Added "make -j{N}" support to "make test"Clifford Wolf2014-07-30
* Renamed "write_autotest" to "test_autotb" and moved to passes/tests/Clifford Wolf2014-07-29
* Added support for "upto" wires to Verilog front- and back-endClifford Wolf2014-07-28
* Improvements in tests/vloghtbClifford Wolf2014-07-28
* Added techmap -externClifford Wolf2014-07-27
* Added tests/various/.gitignoreClifford Wolf2014-07-26
* Added tests/various/submod_extract.ysClifford Wolf2014-07-26
* Use "wget -N" in tests/vloghtb/run-test.shClifford Wolf2014-07-26
* Renamed some of the test cases in tests/simple to avoid name collisionsClifford Wolf2014-07-25
* Use "opt -fine" in test/vloght/test_mapopt.shClifford Wolf2014-07-21
* Added "opt_const -fine" and "opt_reduce -fine"Clifford Wolf2014-07-21
* Various improvements in test/vloghtbClifford Wolf2014-07-21
* Wider range of cell types supported in "share" passClifford Wolf2014-07-21
* Added yet another resource sharing test caseClifford Wolf2014-07-20
* Supercell creation for $div/$mod worked all along, fixed test benchesClifford Wolf2014-07-20
* Improved tests/share/generate.pyClifford Wolf2014-07-20
* Small fix in tests/vloghtb/run-test.shClifford Wolf2014-07-20
* Added "miter -equiv -flatten"Clifford Wolf2014-07-20
* Added tests/vloghtb/test_share.shClifford Wolf2014-07-20
* Added tests/share for testing "share" supercell creationClifford Wolf2014-07-20
* Added tests/vloghtbClifford Wolf2014-07-20
* Added SAT-based write-port sharing to memory_shareClifford Wolf2014-07-19
* Fixed bug in memory_share feedback-to-en codeClifford Wolf2014-07-19
* Added translation from read-feedback to en-signals in memory_shareClifford Wolf2014-07-18
* Bugfix in tests/memories/run-test.shClifford Wolf2014-07-18
* added tests/memoriesClifford Wolf2014-07-18
* Also simulate unmapped memories in "make test"Clifford Wolf2014-07-17
* Implemented dynamic bit-/part-select for memory writesClifford Wolf2014-07-17
* Added support for bit/part select to mem2reg rewriterClifford Wolf2014-07-17
* Added support for constant bit- or part-select for memory writesClifford Wolf2014-07-17
* Changed tests/techmap/mem_simple_4x1_map for new $mem/$memwr WR_EN interfaceClifford Wolf2014-07-16
* Added note to "make test": use git checkout of iverilogClifford Wolf2014-07-16
* now ignore init attributes on non-register wires in sat commandClifford Wolf2014-07-05
* fixed parsing of constant with comment between size and valueClifford Wolf2014-07-02
* Fixed handling of mixed real/int ternary expressionsClifford Wolf2014-06-25
* Little steps in realmath test benchClifford Wolf2014-06-21
* Added test case for AstNode::MEM2REG_FL_CMPLX_LHSClifford Wolf2014-06-17