From 7370ae01e978f0552f1565b88c0f44b402d09f4e Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Wed, 2 Apr 2014 21:28:33 +0200 Subject: Added SIMLIB_NOLUT to simlib.v --- techlibs/common/simlib.v | 2 ++ 1 file changed, 2 insertions(+) diff --git a/techlibs/common/simlib.v b/techlibs/common/simlib.v index 16e6a1b2..be9d24f1 100644 --- a/techlibs/common/simlib.v +++ b/techlibs/common/simlib.v @@ -927,6 +927,7 @@ end endmodule // -------------------------------------------------------- +`ifndef SIMLIB_NOLUT module \$lut (I, O); @@ -961,6 +962,7 @@ endgenerate endmodule +`endif // -------------------------------------------------------- module \$assert (A, EN); -- cgit v1.2.3