From 8a8d444648bd1744c2598854367fd14d143aeb78 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Fri, 3 Jan 2014 16:54:59 +0100 Subject: Tiny cleanup in proc_mux.cc --- passes/proc/proc_mux.cc | 3 --- 1 file changed, 3 deletions(-) diff --git a/passes/proc/proc_mux.cc b/passes/proc/proc_mux.cc index c7121959..9b2f8388 100644 --- a/passes/proc/proc_mux.cc +++ b/passes/proc/proc_mux.cc @@ -186,9 +186,6 @@ static void append_pmux(RTLIL::Module *mod, const RTLIL::SigSpec &signal, const assert(last_mux_cell != NULL); assert(when_signal.width == last_mux_cell->connections["\\A"].width); - std::stringstream sstr; - sstr << "$procmux$" << (RTLIL::autoidx++); - RTLIL::SigSpec ctrl_sig = gen_cmp(mod, signal, compare, sw); assert(ctrl_sig.width == 1); last_mux_cell->type = "$pmux"; -- cgit v1.2.3