From 81b3f52519d388f252405fa7cc7472ca9e51bc49 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Fri, 21 Feb 2014 12:06:40 +0100 Subject: Added tests/techmap/mem_simple_4x1 --- Makefile | 1 + 1 file changed, 1 insertion(+) (limited to 'Makefile') diff --git a/Makefile b/Makefile index 730a5355..85b45577 100644 --- a/Makefile +++ b/Makefile @@ -138,6 +138,7 @@ test: yosys cd tests/simple && bash run-test.sh cd tests/hana && bash run-test.sh cd tests/asicworld && bash run-test.sh + cd tests/techmap && bash run-test.sh cd tests/sat && bash run-test.sh install: $(TARGETS) $(EXTRA_TARGETS) -- cgit v1.2.3