From 1e6836933d8b74d391f816ccdcf71c972f8b1db1 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Sun, 24 Nov 2013 15:10:43 +0100 Subject: Added modelsim support to autotest --- backends/autotest/autotest.cc | 12 ++++++------ 1 file changed, 6 insertions(+), 6 deletions(-) (limited to 'backends/autotest') diff --git a/backends/autotest/autotest.cc b/backends/autotest/autotest.cc index c59e2bd1..89ccc371 100644 --- a/backends/autotest/autotest.cc +++ b/backends/autotest/autotest.cc @@ -206,7 +206,7 @@ static void autotest(FILE *f, RTLIL::Design *design) fprintf(f, "task %s;\n", idy(mod->name, "print_status").c_str()); fprintf(f, "begin\n"); - fprintf(f, "\t$display(\"%%b %%b %%b %%t %%d\", {"); + fprintf(f, "\t$display(\"#OUT# %%b %%b %%b %%t %%d\", {"); if (signal_in.size()) for (auto it = signal_in.begin(); it != signal_in.end(); it++) { fprintf(f, "%s %s", it == signal_in.begin() ? "" : ",", it->first.c_str()); @@ -268,17 +268,17 @@ static void autotest(FILE *f, RTLIL::Design *design) fprintf(f, "task %s;\n", idy(mod->name, "print_header").c_str()); fprintf(f, "begin\n"); - fprintf(f, "\t$display();\n"); + fprintf(f, "\t$display(\"#OUT#\");\n"); for (auto &hdr : header1) - fprintf(f, "\t$display(\" %s\");\n", hdr.c_str()); - fprintf(f, "\t$display();\n"); - fprintf(f, "\t$display(\"%s\");\n", header2.c_str()); + fprintf(f, "\t$display(\"#OUT# %s\");\n", hdr.c_str()); + fprintf(f, "\t$display(\"#OUT#\");\n"); + fprintf(f, "\t$display(\"#OUT# %s\");\n", header2.c_str()); fprintf(f, "end\n"); fprintf(f, "endtask\n\n"); fprintf(f, "task %s;\n", idy(mod->name, "test").c_str()); fprintf(f, "begin\n"); - fprintf(f, "\t$display(\"\\n==== %s ====\");\n", idy(mod->name).c_str()); + fprintf(f, "\t$display(\"#OUT#\\n#OUT# ==== %s ====\");\n", idy(mod->name).c_str()); fprintf(f, "\t%s;\n", idy(mod->name, "reset").c_str()); fprintf(f, "\tfor (i=0; i<%d; i=i+1) begin\n", NUM_ITER); fprintf(f, "\t\tif (i %% 20 == 0) %s;\n", idy(mod->name, "print_header").c_str()); -- cgit v1.2.3