From 1cb25c05b37b0172dbc50e140fe20f25d973dd8a Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Thu, 31 Jul 2014 13:19:47 +0200 Subject: Moved some stuff to kernel/yosys.{h,cc}, using Yosys:: namespace --- backends/ilang/ilang_backend.cc | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) (limited to 'backends/ilang/ilang_backend.cc') diff --git a/backends/ilang/ilang_backend.cc b/backends/ilang/ilang_backend.cc index c055c133..b7088f59 100644 --- a/backends/ilang/ilang_backend.cc +++ b/backends/ilang/ilang_backend.cc @@ -336,7 +336,7 @@ void ILANG_BACKEND::dump_module(FILE *f, std::string indent, const RTLIL::Module void ILANG_BACKEND::dump_design(FILE *f, const RTLIL::Design *design, bool only_selected, bool flag_m, bool flag_n) { - int init_autoidx = RTLIL::autoidx; + int init_autoidx = autoidx; if (!flag_m) { int count_selected_mods = 0; @@ -353,7 +353,7 @@ void ILANG_BACKEND::dump_design(FILE *f, const RTLIL::Design *design, bool only_ if (!only_selected || flag_m) { if (only_selected) fprintf(f, "\n"); - fprintf(f, "autoidx %d\n", RTLIL::autoidx); + fprintf(f, "autoidx %d\n", autoidx); } for (auto it = design->modules_.begin(); it != design->modules_.end(); it++) { @@ -364,7 +364,7 @@ void ILANG_BACKEND::dump_design(FILE *f, const RTLIL::Design *design, bool only_ } } - log_assert(init_autoidx == RTLIL::autoidx); + log_assert(init_autoidx == autoidx); } struct IlangBackend : public Backend { -- cgit v1.2.3