From c376212beae4f1f9da75e05ee81fce38f8cb1f99 Mon Sep 17 00:00:00 2001 From: Ruben Undheim Date: Fri, 29 Mar 2019 00:20:18 +0100 Subject: More spelling errors fixed --- .../patches/0009-Some-spelling-errors-fixed.patch | 34 +++++++++++++++++++++- 1 file changed, 33 insertions(+), 1 deletion(-) (limited to 'debian') diff --git a/debian/patches/0009-Some-spelling-errors-fixed.patch b/debian/patches/0009-Some-spelling-errors-fixed.patch index a8fb55fd..32c7c896 100644 --- a/debian/patches/0009-Some-spelling-errors-fixed.patch +++ b/debian/patches/0009-Some-spelling-errors-fixed.patch @@ -3,10 +3,25 @@ Date: Thu, 12 Jul 2018 13:41:39 +0200 Subject: Some spelling errors fixed --- + frontends/ast/genrtlil.cc | 2 +- manual/CHAPTER_Overview.tex | 2 +- manual/command-reference-manual.tex | 2 +- - 2 files changed, 2 insertions(+), 2 deletions(-) + techlibs/xilinx/synth_xilinx.cc | 4 ++-- + 4 files changed, 5 insertions(+), 5 deletions(-) +diff --git a/frontends/ast/genrtlil.cc b/frontends/ast/genrtlil.cc +index b3a2a84..6ec7227 100644 +--- a/frontends/ast/genrtlil.cc ++++ b/frontends/ast/genrtlil.cc +@@ -557,7 +557,7 @@ struct AST_INTERNAL::ProcessGenerator + break; + + case AST_ASSIGN: +- log_file_error(ast->filename, ast->linenum, "Found continous assignment in always/initial block!\n"); ++ log_file_error(ast->filename, ast->linenum, "Found continuous assignment in always/initial block!\n"); + break; + + case AST_PARAMETER: diff --git a/manual/CHAPTER_Overview.tex b/manual/CHAPTER_Overview.tex index 2feb0f1..c7d1d5c 100644 --- a/manual/CHAPTER_Overview.tex @@ -33,3 +48,20 @@ index bed6326..eb43467 100644 -signed mark ports (A, B) that are declared as signed (using the [AB]_SIGNED +diff --git a/techlibs/xilinx/synth_xilinx.cc b/techlibs/xilinx/synth_xilinx.cc +index 805ae8e..0b8d833 100644 +--- a/techlibs/xilinx/synth_xilinx.cc ++++ b/techlibs/xilinx/synth_xilinx.cc +@@ -64,10 +64,10 @@ struct SynthXilinxPass : public Pass + log(" (this feature is experimental and incomplete)\n"); + log("\n"); + log(" -nobram\n"); +- log(" disable infering of block rams\n"); ++ log(" disable inferring of block rams\n"); + log("\n"); + log(" -nodram\n"); +- log(" disable infering of distributed rams\n"); ++ log(" disable inferring of distributed rams\n"); + log("\n"); + log(" -run :\n"); + log(" only run the commands between the labels (see below). an empty\n"); -- cgit v1.2.3