From 88fbdd4916a9a9f491d22075b63beb676153c3d3 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Mon, 20 Jan 2014 20:25:20 +0100 Subject: Fixed algorithmic complexity of AST simplification of long expressions --- frontends/ast/ast.cc | 1 + frontends/ast/ast.h | 3 +++ frontends/ast/simplify.cc | 15 +++++++++------ 3 files changed, 13 insertions(+), 6 deletions(-) (limited to 'frontends/ast') diff --git a/frontends/ast/ast.cc b/frontends/ast/ast.cc index ecc58cf6..20720051 100644 --- a/frontends/ast/ast.cc +++ b/frontends/ast/ast.cc @@ -181,6 +181,7 @@ AstNode::AstNode(AstNodeType type, AstNode *child1, AstNode *child2) range_right = 0; integer = 0; id2ast = NULL; + basic_prep = false; if (child1) children.push_back(child1); diff --git a/frontends/ast/ast.h b/frontends/ast/ast.h index 6aaa90e8..14e7803b 100644 --- a/frontends/ast/ast.h +++ b/frontends/ast/ast.h @@ -153,6 +153,9 @@ namespace AST // this is set by simplify and used during RTLIL generation AstNode *id2ast; + // this is used by simplify to detect if basic analysis has been performed already on the node + bool basic_prep; + // this is the original sourcecode location that resulted in this AST node // it is automatically set by the constructor using AST::current_filename and // the AST::get_line_num() callback function. diff --git a/frontends/ast/simplify.cc b/frontends/ast/simplify.cc index c266800e..bd5da14e 100644 --- a/frontends/ast/simplify.cc +++ b/frontends/ast/simplify.cc @@ -237,8 +237,8 @@ bool AstNode::simplify(bool const_fold, bool at_zero, bool in_lvalue, int stage, case AST_ASSIGN_EQ: case AST_ASSIGN_LE: case AST_ASSIGN: - while (children[0]->simplify(false, false, true, stage, -1, false) == true) { } - while (children[1]->simplify(false, false, false, stage, -1, false) == true) { } + while (!children[0]->basic_prep && children[0]->simplify(false, false, true, stage, -1, false) == true) { } + while (!children[1]->basic_prep && children[1]->simplify(false, false, false, stage, -1, false) == true) { } children[0]->detectSignWidth(backup_width_hint, backup_sign_hint); children[1]->detectSignWidth(width_hint, sign_hint); width_hint = std::max(width_hint, backup_width_hint); @@ -247,11 +247,11 @@ bool AstNode::simplify(bool const_fold, bool at_zero, bool in_lvalue, int stage, case AST_PARAMETER: case AST_LOCALPARAM: - while (children[0]->simplify(false, false, false, stage, -1, false) == true) { } + while (!children[0]->basic_prep && children[0]->simplify(false, false, false, stage, -1, false) == true) { } children[0]->detectSignWidth(width_hint, sign_hint); if (children.size() > 1) { assert(children[1]->type == AST_RANGE); - while (children[1]->simplify(false, false, false, stage, -1, false) == true) { } + while (!children[1]->basic_prep && children[1]->simplify(false, false, false, stage, -1, false) == true) { } if (!children[1]->range_valid) log_error("Non-constant width range on parameter decl at %s:%d.\n", filename.c_str(), linenum); width_hint = std::max(width_hint, children[1]->range_left - children[1]->range_right + 1); @@ -306,7 +306,7 @@ bool AstNode::simplify(bool const_fold, bool at_zero, bool in_lvalue, int stage, width_hint = -1; sign_hint = true; for (auto child : children) { - while (child->simplify(false, false, in_lvalue, stage, -1, false) == true) { } + while (!child->basic_prep && child->simplify(false, false, in_lvalue, stage, -1, false) == true) { } child->detectSignWidthWorker(width_hint, sign_hint); } reset_width_after_children = true; @@ -336,7 +336,7 @@ bool AstNode::simplify(bool const_fold, bool at_zero, bool in_lvalue, int stage, if (detect_width_simple && width_hint < 0) { for (auto child : children) - while (child->simplify(false, false, in_lvalue, stage, -1, false) == true) { } + while (!child->basic_prep && child->simplify(false, false, in_lvalue, stage, -1, false) == true) { } if (type == AST_REPLICATE) while (children[0]->simplify(true, false, in_lvalue, stage, -1, false) == true) { } detectSignWidth(width_hint, sign_hint); @@ -1425,6 +1425,9 @@ apply_newNode: did_something = true; } + if (!did_something) + basic_prep = true; + return did_something; } -- cgit v1.2.3