From 56432a920f9c2189ead2f724f18cde20aad7bf99 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Thu, 4 Jul 2013 14:12:33 +0200 Subject: Added defparam support to Verilog/AST frontend --- frontends/verilog/lexer.l | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) (limited to 'frontends/verilog/lexer.l') diff --git a/frontends/verilog/lexer.l b/frontends/verilog/lexer.l index 78f1d367..cc7590ca 100644 --- a/frontends/verilog/lexer.l +++ b/frontends/verilog/lexer.l @@ -95,6 +95,7 @@ namespace VERILOG_FRONTEND { "endtask" { return TOK_ENDTASK; } "parameter" { return TOK_PARAMETER; } "localparam" { return TOK_LOCALPARAM; } +"defparam" { return TOK_DEFPARAM; } "assign" { return TOK_ASSIGN; } "always" { return TOK_ALWAYS; } "initial" { return TOK_INITIAL; } @@ -184,7 +185,7 @@ supply1 { return TOK_SUPPLY1; } "$signed" { return TOK_TO_SIGNED; } "$unsigned" { return TOK_TO_UNSIGNED; } -[a-zA-Z_$][a-zA-Z0-9_\.$]* { +[a-zA-Z_$][a-zA-Z0-9_$]* { frontend_verilog_yylval.string = new std::string(std::string("\\") + yytext); return TOK_ID; } -- cgit v1.2.3