From 7a99349de4d9375845c05e3ac17d1eed366aab2e Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Tue, 26 Mar 2013 11:13:58 +0100 Subject: Improvements and bugfixes for generate blocks with local signals --- frontends/verilog/lexer.l | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'frontends/verilog') diff --git a/frontends/verilog/lexer.l b/frontends/verilog/lexer.l index a269c072..2a6c4417 100644 --- a/frontends/verilog/lexer.l +++ b/frontends/verilog/lexer.l @@ -184,7 +184,7 @@ supply1 { return TOK_SUPPLY1; } "$signed" { return TOK_TO_SIGNED; } "$unsigned" { return TOK_TO_UNSIGNED; } -[a-zA-Z_$][a-zA-Z0-9_$]* { +[a-zA-Z_$][a-zA-Z0-9_\.$]* { frontend_verilog_yylval.string = new std::string(std::string("\\") + yytext); return TOK_ID; } -- cgit v1.2.3