From 8656b1c08f34c3585ac8ec0e7285fbaaad6a7bc8 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Mon, 19 Aug 2013 19:50:04 +0200 Subject: Added support for bufif0/bufif1 primitives --- frontends/verilog/lexer.l | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'frontends/verilog') diff --git a/frontends/verilog/lexer.l b/frontends/verilog/lexer.l index cc7590ca..e873f9d9 100644 --- a/frontends/verilog/lexer.l +++ b/frontends/verilog/lexer.l @@ -169,7 +169,7 @@ namespace VERILOG_FRONTEND { } . { yymore(); } -and|nand|or|nor|xor|xnor|not|buf { +and|nand|or|nor|xor|xnor|not|buf|bufif0|bufif1 { frontend_verilog_yylval.string = new std::string(yytext); return TOK_PRIMITIVE; } -- cgit v1.2.3