From 4df7e03ec9eafb01e2237f307075ad8dd7b1da5a Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Thu, 30 Jan 2014 14:52:46 +0100 Subject: Bugfix in name resolution with generate blocks --- frontends/ast/simplify.cc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'frontends') diff --git a/frontends/ast/simplify.cc b/frontends/ast/simplify.cc index bd5da14e..5a2d1ae6 100644 --- a/frontends/ast/simplify.cc +++ b/frontends/ast/simplify.cc @@ -1478,7 +1478,7 @@ void AstNode::expand_genblock(std::string index_var, std::string prefix, std::ma for (size_t i = 0; i < children.size(); i++) { AstNode *child = children[i]; - if (child->type != AST_FUNCTION && child->type != AST_TASK) + if (child->type != AST_FUNCTION && child->type != AST_TASK && child->type != AST_PREFIX) child->expand_genblock(index_var, prefix, name_map); } -- cgit v1.2.3