From 1f6737f08f812f01e3917389e1fee5a37bd446b6 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Sat, 21 Feb 2015 14:31:02 +0100 Subject: Hotfix for yosysjs/demo03.html --- misc/yosysjs/demo03.html | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) (limited to 'misc/yosysjs') diff --git a/misc/yosysjs/demo03.html b/misc/yosysjs/demo03.html index c9386aee..3dc465cb 100644 --- a/misc/yosysjs/demo03.html +++ b/misc/yosysjs/demo03.html @@ -28,10 +28,10 @@ endmodule

module top(input clk, reset, input [7:0] A, output reg [7:0] Y);
-	  always @(posedge clock) begin
-	    Y <= A | {4{reset}};
-	  end
-	endmodule

+ always @(posedge clock) begin + Y <= A | {4{reset}}; + end +endmodule

 

-- cgit v1.2.3