From 2c9bd23801fc00463cd218319c7f2f3a89852260 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Sat, 14 Sep 2013 13:29:11 +0200 Subject: Added spice testbench to techlibs/cmos --- techlibs/cmos/testbench.sh | 7 +++++++ 1 file changed, 7 insertions(+) create mode 100644 techlibs/cmos/testbench.sh (limited to 'techlibs/cmos/testbench.sh') diff --git a/techlibs/cmos/testbench.sh b/techlibs/cmos/testbench.sh new file mode 100644 index 00000000..061704b6 --- /dev/null +++ b/techlibs/cmos/testbench.sh @@ -0,0 +1,7 @@ +#!/bin/bash + +set -ex + +../../yosys counter.ys +ngspice testbench.sp + -- cgit v1.2.3