From 1c4a6411af4339a26d28d1e4b93cd2c44eb16228 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Thu, 21 Nov 2013 22:39:10 +0100 Subject: Updated abc --- techlibs/cmos/cmos_cells.lib | 6 ++++++ techlibs/cmos/cmos_cells.sp | 5 +++++ 2 files changed, 11 insertions(+) (limited to 'techlibs/cmos') diff --git a/techlibs/cmos/cmos_cells.lib b/techlibs/cmos/cmos_cells.lib index 164256c0..697d8205 100644 --- a/techlibs/cmos/cmos_cells.lib +++ b/techlibs/cmos/cmos_cells.lib @@ -1,4 +1,10 @@ library(demo) { + cell(BUF) { + area: 6; + pin(A) { direction: input; } + pin(Y) { direction: output; + function: "A"; } + } cell(NOT) { area: 3; pin(A) { direction: input; } diff --git a/techlibs/cmos/cmos_cells.sp b/techlibs/cmos/cmos_cells.sp index cb94caa2..673b20d0 100644 --- a/techlibs/cmos/cmos_cells.sp +++ b/techlibs/cmos/cmos_cells.sp @@ -1,4 +1,9 @@ +.SUBCKT BUF A Y +X1 A B NOT +X2 B Y NOT +.ENDS NOT + .SUBCKT NOT A Y M1 Y A Vdd Vdd cmosp L=1u W=10u M2 Y A Vss Vss cmosn L=1u W=10u -- cgit v1.2.3