From 3ed4e34380036c63d6177f41f43767b41201e4a8 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Fri, 16 Jan 2015 15:50:42 +0100 Subject: Added cells.lib --- techlibs/common/Makefile.inc | 1 + 1 file changed, 1 insertion(+) (limited to 'techlibs/common/Makefile.inc') diff --git a/techlibs/common/Makefile.inc b/techlibs/common/Makefile.inc index dc1e0ef6..d2ce61cf 100644 --- a/techlibs/common/Makefile.inc +++ b/techlibs/common/Makefile.inc @@ -15,4 +15,5 @@ $(eval $(call add_share_file,share,techlibs/common/techmap.v)) $(eval $(call add_share_file,share,techlibs/common/blackbox.v)) $(eval $(call add_share_file,share,techlibs/common/pmux2mux.v)) $(eval $(call add_share_file,share,techlibs/common/adff2dff.v)) +$(eval $(call add_share_file,share,techlibs/common/cells.lib)) -- cgit v1.2.3