From 947492867238d47c014189a0de2d49f3e5d9bbbc Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Tue, 6 Jan 2015 15:26:33 +0100 Subject: Towards Xilinx bram support --- techlibs/xilinx/tests/bram1.sh | 2 ++ techlibs/xilinx/tests/bram1_tb.v | 2 +- 2 files changed, 3 insertions(+), 1 deletion(-) (limited to 'techlibs/xilinx/tests') diff --git a/techlibs/xilinx/tests/bram1.sh b/techlibs/xilinx/tests/bram1.sh index f233be9f..15c4034a 100644 --- a/techlibs/xilinx/tests/bram1.sh +++ b/techlibs/xilinx/tests/bram1.sh @@ -1,5 +1,7 @@ #!/bin/bash +set -e + use_xsim=false unisims=/opt/Xilinx/Vivado/2014.4/data/verilog/src/unisims diff --git a/techlibs/xilinx/tests/bram1_tb.v b/techlibs/xilinx/tests/bram1_tb.v index c14cf6e3..dbefdb6e 100644 --- a/techlibs/xilinx/tests/bram1_tb.v +++ b/techlibs/xilinx/tests/bram1_tb.v @@ -70,7 +70,7 @@ module bram1_tb #( expected_rd[j] = RD_DATA[j]; end - $display("#OUT# | WA=%x WD=%x WE=%x | RA=%x RD=%x | %s", WR_ADDR, WR_DATA, WR_EN, RD_ADDR, RD_DATA, expected_rd === RD_DATA ? "ok" : "ERROR"); + $display("#OUT# %3d | WA=%x WD=%x WE=%x | RA=%x RD=%x | %s", i, WR_ADDR, WR_DATA, WR_EN, RD_ADDR, RD_DATA, expected_rd === RD_DATA ? "ok" : "ERROR"); if (expected_rd !== RD_DATA) begin -> error; error_ind = ~error_ind; end end end -- cgit v1.2.3