From db3c67fd6e140893450a44870ee9a75dd1f48b27 Mon Sep 17 00:00:00 2001 From: Ruben Undheim Date: Wed, 17 Oct 2018 17:59:38 +0200 Subject: New upstream version 0.8 --- techlibs/xilinx/cells_xtra.sh | 4 ++-- techlibs/xilinx/cells_xtra.v | 13 ------------- 2 files changed, 2 insertions(+), 15 deletions(-) (limited to 'techlibs/xilinx') diff --git a/techlibs/xilinx/cells_xtra.sh b/techlibs/xilinx/cells_xtra.sh index e7c7d17b..c7ad1604 100644 --- a/techlibs/xilinx/cells_xtra.sh +++ b/techlibs/xilinx/cells_xtra.sh @@ -1,13 +1,13 @@ #!/bin/bash set -e -libdir="/opt/Xilinx/Vivado/2018.1/data/verilog/src" +libdir="/opt/Xilinx/Vivado/2015.4/data/verilog/src" function xtract_cell_decl() { for dir in $libdir/xeclib $libdir/retarget; do [ -f $dir/$1.v ] || continue - egrep '^\s*((end)?module|parameter|input|inout|output|(end)?function|(end)?task)' $dir/$1.v | + egrep '^\s*((end)?module|parameter|input|output|(end)?function|(end)?task)' $dir/$1.v | sed -re '/UNPLACED/ d; /^\s*function/,/endfunction/ d; /^\s*task/,/endtask/ d; s,//.*,,; s/#?\(.*/(...);/; s/^(input|output|parameter)/ \1/; s/\s+$//; s/,$/;/; /input|output|parameter/ s/[^;]$/&;/; s/\s+/ /g; diff --git a/techlibs/xilinx/cells_xtra.v b/techlibs/xilinx/cells_xtra.v index 69e54233..a2dd01ad 100644 --- a/techlibs/xilinx/cells_xtra.v +++ b/techlibs/xilinx/cells_xtra.v @@ -2225,7 +2225,6 @@ module IOBUF (...); parameter IOSTANDARD = "DEFAULT"; parameter SLEW = "SLOW"; output O; - inout IO; input I, T; endmodule @@ -2237,7 +2236,6 @@ module IOBUF_DCIEN (...); parameter SLEW = "SLOW"; parameter USE_IBUFDISABLE = "TRUE"; output O; - inout IO; input DCITERMDISABLE; input I; input IBUFDISABLE; @@ -2252,7 +2250,6 @@ module IOBUF_INTERMDISABLE (...); parameter SLEW = "SLOW"; parameter USE_IBUFDISABLE = "TRUE"; output O; - inout IO; input I; input IBUFDISABLE; input INTERMDISABLE; @@ -2266,7 +2263,6 @@ module IOBUFDS (...); parameter IOSTANDARD = "DEFAULT"; parameter SLEW = "SLOW"; output O; - inout IO, IOB; input I, T; endmodule @@ -2279,8 +2275,6 @@ module IOBUFDS_DCIEN (...); parameter SLEW = "SLOW"; parameter USE_IBUFDISABLE = "TRUE"; output O; - inout IO; - inout IOB; input DCITERMDISABLE; input I; input IBUFDISABLE; @@ -2294,8 +2288,6 @@ module IOBUFDS_DIFF_OUT (...); parameter IOSTANDARD = "DEFAULT"; output O; output OB; - inout IO; - inout IOB; input I; input TM; input TS; @@ -2310,8 +2302,6 @@ module IOBUFDS_DIFF_OUT_DCIEN (...); parameter USE_IBUFDISABLE = "TRUE"; output O; output OB; - inout IO; - inout IOB; input DCITERMDISABLE; input I; input IBUFDISABLE; @@ -2328,8 +2318,6 @@ module IOBUFDS_DIFF_OUT_INTERMDISABLE (...); parameter USE_IBUFDISABLE = "TRUE"; output O; output OB; - inout IO; - inout IOB; input I; input IBUFDISABLE; input INTERMDISABLE; @@ -2393,7 +2381,6 @@ module ISERDESE2 (...); endmodule module KEEPER (...); - inout O; endmodule module LDCE (...); -- cgit v1.2.3