From 26f2439551697c0511bd0c5375ce69e26973d4ca Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Tue, 26 Mar 2013 19:06:28 +0100 Subject: Tiny bugfix in simlib.v --- techlibs/simlib.v | 1 - 1 file changed, 1 deletion(-) (limited to 'techlibs') diff --git a/techlibs/simlib.v b/techlibs/simlib.v index 29c13503..8675a4d0 100644 --- a/techlibs/simlib.v +++ b/techlibs/simlib.v @@ -646,7 +646,6 @@ module \$sr (S, R, Q); parameter WIDTH = 0; -input CLK; input [WIDTH-1:0] S, R; output reg [WIDTH-1:0] Q; -- cgit v1.2.3