From 31755ed1cfe4516ddcad30847ed1b2b066bfdf8b Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Thu, 16 Apr 2015 12:09:14 +0200 Subject: Changed ice40 ICESTORM_CARRYCONST port name --- techlibs/ice40/cells_sim.v | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) (limited to 'techlibs') diff --git a/techlibs/ice40/cells_sim.v b/techlibs/ice40/cells_sim.v index 9c63336d..def87821 100644 --- a/techlibs/ice40/cells_sim.v +++ b/techlibs/ice40/cells_sim.v @@ -245,9 +245,9 @@ endmodule // Packed IceStorm Logic Cells -module ICESTORM_CARRYCONST (output O); +module ICESTORM_CARRYCONST (output COUT); parameter [0:0] CARRYCONST = 0; - assign O = CARRYCONST; + assign COUT = CARRYCONST; endmodule module ICESTORM_LC ( -- cgit v1.2.3