From 849fd62cfed9b6623865c7af76dd1bfbc6adf457 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Thu, 6 Feb 2014 01:00:11 +0100 Subject: Added counters sat test case --- tests/sat/counters.v | 35 +++++++++++++++++++++++++++++++++++ 1 file changed, 35 insertions(+) create mode 100644 tests/sat/counters.v (limited to 'tests/sat/counters.v') diff --git a/tests/sat/counters.v b/tests/sat/counters.v new file mode 100644 index 00000000..09e27304 --- /dev/null +++ b/tests/sat/counters.v @@ -0,0 +1,35 @@ + +module counter1(clk, rst, ping); + input clk, rst; + output ping; + reg [31:0] count; + + always @(posedge clk) begin + if (rst) + count <= 0; + else + count <= count + 1; + end + + assign ping = &count; +endmodule + +module counter2(clk, rst, ping); + input clk, rst; + output ping; + reg [31:0] count; + + integer i; + reg carry; + + always @(posedge clk) begin + carry = 1; + for (i = 0; i < 32; i = i+1) begin + count[i] <= !rst & (count[i] ^ carry); + carry = count[i] & carry; + end + end + + assign ping = &count; +endmodule + -- cgit v1.2.3