From 7764d0ba1dcf064ae487ee985c43083a0909e7f4 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Sat, 5 Jan 2013 11:13:26 +0100 Subject: initial import --- tests/simple/mem2reg.v | 17 +++++++++++++++++ 1 file changed, 17 insertions(+) create mode 100644 tests/simple/mem2reg.v (limited to 'tests/simple/mem2reg.v') diff --git a/tests/simple/mem2reg.v b/tests/simple/mem2reg.v new file mode 100644 index 00000000..7be32b0b --- /dev/null +++ b/tests/simple/mem2reg.v @@ -0,0 +1,17 @@ +module test1(in_addr, in_data, out_addr, out_data); + +input [1:0] in_addr, out_addr; +input [3:0] in_data; +output reg [3:0] out_data; + +reg [3:0] array [2:0]; + +always @* begin + array[0] = 0; + array[1] = 23; + array[2] = 42; + array[in_addr] = in_data; + out_data = array[out_addr]; +end + +endmodule -- cgit v1.2.3