From 1f6bb85359149a016811e7e7fef980c3d45211e7 Mon Sep 17 00:00:00 2001 From: Ruben Undheim Date: Fri, 18 Oct 2019 19:56:51 +0000 Subject: New upstream version 0.9 --- tests/simple/param_attr.v | 11 +++++++++++ 1 file changed, 11 insertions(+) create mode 100644 tests/simple/param_attr.v (limited to 'tests/simple/param_attr.v') diff --git a/tests/simple/param_attr.v b/tests/simple/param_attr.v new file mode 100644 index 00000000..34d63a34 --- /dev/null +++ b/tests/simple/param_attr.v @@ -0,0 +1,11 @@ +module uut_param_attr (I, O); + +(* PARAMETER_ATTRIBUTE = "attribute_content" *) +parameter WIDTH = 1; + +input wire [WIDTH-1:0] I; +output wire [WIDTH-1:0] O; + +assign O = I; + +endmodule -- cgit v1.2.3