From 81b3f52519d388f252405fa7cc7472ca9e51bc49 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Fri, 21 Feb 2014 12:06:40 +0100 Subject: Added tests/techmap/mem_simple_4x1 --- tests/techmap/.gitignore | 1 + 1 file changed, 1 insertion(+) create mode 100644 tests/techmap/.gitignore (limited to 'tests/techmap/.gitignore') diff --git a/tests/techmap/.gitignore b/tests/techmap/.gitignore new file mode 100644 index 00000000..397b4a76 --- /dev/null +++ b/tests/techmap/.gitignore @@ -0,0 +1 @@ +*.log -- cgit v1.2.3