From 81b3f52519d388f252405fa7cc7472ca9e51bc49 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Fri, 21 Feb 2014 12:06:40 +0100 Subject: Added tests/techmap/mem_simple_4x1 --- tests/techmap/run-test.sh | 10 ++++++++++ 1 file changed, 10 insertions(+) create mode 100755 tests/techmap/run-test.sh (limited to 'tests/techmap/run-test.sh') diff --git a/tests/techmap/run-test.sh b/tests/techmap/run-test.sh new file mode 100755 index 00000000..e2fc11e5 --- /dev/null +++ b/tests/techmap/run-test.sh @@ -0,0 +1,10 @@ +#!/bin/bash +set -e +for x in *_runtest.sh; do + echo "Running $x.." + if ! bash $x &> ${x%.sh}.log; then + tail ${x%.sh}.log + echo ERROR + exit 1 + fi +done -- cgit v1.2.3