From b21ebe1859df2f9bd1791de34633a85918651c13 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Sat, 26 Jul 2014 17:22:18 +0200 Subject: Added tests/various/submod_extract.ys --- tests/various/submod_extract.ys | 21 +++++++++++++++++++++ 1 file changed, 21 insertions(+) create mode 100644 tests/various/submod_extract.ys (limited to 'tests/various/submod_extract.ys') diff --git a/tests/various/submod_extract.ys b/tests/various/submod_extract.ys new file mode 100644 index 00000000..8d11c21d --- /dev/null +++ b/tests/various/submod_extract.ys @@ -0,0 +1,21 @@ +read_verilog << EOT + module test(input [7:0] a, b, c, d, output [7:0] x, y, z); + assign x = a + b, y = b + c, z = c + d; + endmodule +EOT + +copy test gold +rename test gate + +submod -name mycell gate/x %ci* +design -copy-to mymap mycell +extract -map %mymap gate + +select -assert-count 3 gold/t:* +select -assert-count 3 gold/t:$add + +select -assert-count 3 gate/t:* +select -assert-count 3 gate/t:mycell + +miter -equiv -flatten gold gate miter +sat -verify -prove trigger 0 miter -- cgit v1.2.3