From 1afe6589df136375c4322c9f10812e3b57f1200e Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Sun, 24 Nov 2013 20:44:00 +0100 Subject: Renamed stdcells_sim.v to simcells.v and fixed blackbox.v --- tests/i2c_bench/run-test.sh | 2 +- tests/tools/autotest.sh | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) (limited to 'tests') diff --git a/tests/i2c_bench/run-test.sh b/tests/i2c_bench/run-test.sh index 580ce4c0..865f9ad3 100755 --- a/tests/i2c_bench/run-test.sh +++ b/tests/i2c_bench/run-test.sh @@ -28,7 +28,7 @@ EOT vlogcomp --work syn i2c_master_syn.v vlogcomp --work syn ../../techlibs/common/simlib.v -vlogcomp --work syn ../../techlibs/common/stdcells_sim.v +vlogcomp --work syn ../../techlibs/common/simcells.v vlogcomp --work syn i2c_slave_model.v vlogcomp --work syn spi_slave_model.v vlogcomp --work syn tst_bench_top.v diff --git a/tests/tools/autotest.sh b/tests/tools/autotest.sh index 3d7601eb..5a302bcd 100755 --- a/tests/tools/autotest.sh +++ b/tests/tools/autotest.sh @@ -139,7 +139,7 @@ do compile_and_run ${bn}_tb_syn${test_count} ${bn}_out_syn${test_count} \ ${bn}_tb.v ${bn}_syn${test_count}.v $libs \ "$toolsdir"/../../techlibs/common/simlib.v \ - "$toolsdir"/../../techlibs/common/stdcells_sim.v + "$toolsdir"/../../techlibs/common/simcells.v if $genvcd; then mv testbench.vcd ${bn}_syn${test_count}.vcd; fi $toolsdir/cmp_tbdata ${bn}_out_ref ${bn}_out_syn${test_count} test_count=$(( test_count + 1 )) -- cgit v1.2.3