From 7ae3d1b5a99edf84fd543fe78ed68b0470005959 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Thu, 12 Nov 2015 13:02:36 +0100 Subject: More bugfixes in handling of parameters in tasks and functions --- tests/simple/task_func.v | 13 ++++++++++++- 1 file changed, 12 insertions(+), 1 deletion(-) (limited to 'tests') diff --git a/tests/simple/task_func.v b/tests/simple/task_func.v index 36ac768e..fa50c1d5 100644 --- a/tests/simple/task_func.v +++ b/tests/simple/task_func.v @@ -83,8 +83,9 @@ endmodule // ------------------------------------------------------------------- -module task_func_test04(input [7:0] in, output [7:0] out1, out2, out3); +module task_func_test04(input [7:0] in, output [7:0] out1, out2, out3, out4); parameter p = 23; + parameter px = 42; function [7:0] test1; input [7:0] i; parameter p = 42; @@ -105,7 +106,17 @@ module task_func_test04(input [7:0] in, output [7:0] out1, out2, out3); test3 = i + p; end endfunction + function [7:0] test4; + input [7:0] i; + parameter px = p + 13; + parameter p3 = px - 37; + parameter p4 = p3 ^ px; + begin + test4 = i + p4; + end + endfunction assign out1 = test1(in); assign out2 = test2(in); assign out3 = test3(in); + assign out4 = test4(in); endmodule -- cgit v1.2.3