From c5e26f839c93af7bab93bffdab518f2a877291d9 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Mon, 18 Nov 2013 19:55:39 +0100 Subject: Added additional mem2reg testcase --- tests/simple/mem2reg.v | 28 ++++++++++++++++++++++++++++ 1 file changed, 28 insertions(+) (limited to 'tests') diff --git a/tests/simple/mem2reg.v b/tests/simple/mem2reg.v index 7be32b0b..e2c136dd 100644 --- a/tests/simple/mem2reg.v +++ b/tests/simple/mem2reg.v @@ -1,3 +1,4 @@ + module test1(in_addr, in_data, out_addr, out_data); input [1:0] in_addr, out_addr; @@ -15,3 +16,30 @@ always @* begin end endmodule + +// ------------------------------------------------------ + +module test2(clk, mode, addr, data); + +input clk, mode; +input [2:0] addr; +output [3:0] data; + +(* mem2reg *) +reg [3:0] mem [0:7]; + +assign data = mem[addr]; + +integer i; + +always @(posedge clk) begin + if (mode) begin + for (i=0; i<8; i=i+1) + mem[i] <= mem[i]+1; + end else begin + mem[addr] <= 0; + end +end + +endmodule + -- cgit v1.2.3