.\" Hey, EMACS: -*- nroff -*- .\" First parameter, NAME, should be all caps .\" Second parameter, SECTION, should be 1-8, maybe w/ subsection .\" other parameters are allowed: see man(7), man(1) .TH YOSYS 1 "April 12, 2014" .\" Please adjust this date whenever revising the manpage. .\" .\" Some roff macros, for reference: .\" .nh disable hyphenation .\" .hy enable hyphenation .\" .ad l left justify .\" .ad b justify to both left and right margins .\" .nf disable filling .\" .fi enable filling .\" .br insert line break .\" .sp insert n+1 empty lines .\" for manpage-specific macros, see man(7) .SH NAME yosys \- Yosys Open SYnthesis Suite .SH SYNOPSIS .B yosys .RI [ options ] " " .br .SH DESCRIPTION This manual page documents briefly the .B yosys command. .PP .\" TeX users may be more comfortable with the \fB\fP and .\" \fI\fP escape sequences to invode bold face and italics, .\" respectively. \fByosys\fP is a program that synthesizes RTL to gate-level logic. .SH OPTIONS A summary of options is included below. .TP .B \-q quiet operation. only write error message to console .TP .B \-v print log headers up to level to the console. (implies \-q) .TP .B \-t annotate all log messages with a time stamp .TP .B \-l logfile write log messages to the specified file .TP .B \-o outfile write the design to the specified file on exit .TP .B \-b backend use this backend for the output file specified on the command line .TP .B \-H print the command list .TP .B \-h command print the help message for the specified command .TP .B \-s scriptfile execute the commands in the script file .TP .B \-c tcl_scriptfile execute the commands in the tcl script file (see 'help tcl' for details) .TP .B \-p command execute the commands .TP .B \-m module_file load the specified module (aka plugin) .TP .B \-V print version information and exit .TP .B \-S The option \-S is an alias for the following options that perform a simple transformation of the input to a gate-level netlist. \-p hierarchy \-p proc \-p opt \-p memory \-p opt \-p techmap \-p opt .SH SEE ALSO berkeley-abc(1), yosys-config(1), yosys-filterlib(1) .SH AUTHOR yosys was written by Clifford Wolf . .PP This manual page was written by Ruben Undheim , for the Debian project (and may be used by others).